Three-dimensional memory device with increased junction critical dimension and method of forming the same

文档序号:193956 发布日期:2021-11-02 浏览:27次 中文

阅读说明:本技术 具有增大的接头临界尺寸的三维存储器器件及其形成方法 (Three-dimensional memory device with increased junction critical dimension and method of forming the same ) 是由 杨永刚 于 2020-01-21 设计创作,主要内容包括:公开了具有增大的接头临界尺寸的三维(3D)存储器器件的实施例及其形成方法。在一个示例中,公开了一种3D存储器器件。所述3D存储器器件包括衬底、在衬底上具有多个交替的导体层和电介质层的存储器堆叠,以及存储器串,该存储器串垂直地延伸穿过第一存储器堆叠并且具有沿着存储器串的侧壁的存储器膜。存储器膜包括由电介质层插入的不连续的阻挡层。(Embodiments of a three-dimensional (3D) memory device with increased junction critical dimensions and methods of forming the same are disclosed. In one example, a 3D memory device is disclosed. The 3D memory device includes a substrate, a memory stack having a plurality of alternating conductor layers and dielectric layers on the substrate, and a memory string extending vertically through the first memory stack and having a memory film along sidewalls of the memory string. The memory film includes a discontinuous barrier layer interposed by a dielectric layer.)

1. A three-dimensional (3D) memory device, the 3D memory device comprising:

a substrate;

a memory stack comprising a plurality of alternating conductor layers and dielectric layers on the substrate; and

a memory string extending vertically through the memory stack and including a memory film along sidewalls of the memory string,

wherein the memory stack includes upper and lower levels separated by a joint oxide layer, the memory film includes discontinuous layers of dielectric material separated by the dielectric layer in the upper and lower levels, and inner sidewalls of the discontinuous layers of dielectric material in the upper and lower levels are substantially flush with inner sidewalls of the joint oxide layer.

2. The 3D memory device of claim 1, wherein the discontinuous layer of dielectric material comprises one or more portions, and

wherein at least one portion is located in the recess of the conductor layer and is surrounded by the adjacent dielectric layer and the side of the conductor layer.

3. The 3D memory device of claim 1 or 2, wherein the discontinuous layer of dielectric material is completely discontinuous.

4. The 3D memory device of claim 1 or 2, wherein the discontinuous layer of dielectric material is partially discontinuous.

5. The 3D memory device of claim 1 or 2, wherein the discontinuous layer of dielectric material has a thickness between 4 nanometers and 10 nanometers.

6. The 3D memory device of claim 1 or 2, wherein the memory film further comprises a storage layer and a tunneling layer.

7. The 3D memory device of claim 1 or 2, wherein at least one of the discontinuous layer of dielectric material and the dielectric layer comprises silicon oxide.

8. The 3D memory device of claim 1, wherein inner sidewalls of the discontinuous layer of dielectric material are substantially flush with inner sidewalls of the dielectric layer.

9. A three-dimensional (3D) memory device, the 3D memory device comprising:

a substrate;

a memory stack comprising a plurality of alternating conductor layers and dielectric layers on the substrate; and

a memory string extending vertically through the memory stack and including a memory film along sidewalls of the memory string,

wherein the memory stack includes an upper level and a lower level separated by a joint oxide layer, the memory film including discontinuous layers of dielectric material in the upper level and the lower level separated by the dielectric layer,

wherein the discontinuous layer of dielectric material comprises a plurality of portions, and

wherein any adjacent ones of the plurality of portions of the discontinuous layer of dielectric material are not in contact,

wherein inner sidewalls of the discontinuous layer of dielectric material are substantially flush with inner sidewalls of the joint oxide layer.

10. The 3D memory device of claim 9, wherein the discontinuous layer of dielectric material is between 4 nanometers and 10 nanometers thick.

11. The 3D memory device of claim 9 or 10, wherein the memory film further comprises a storage layer and a tunneling layer.

12. The 3D memory device of claim 9 or 10, wherein at least one of the discontinuous layer of dielectric material and the dielectric layer comprises silicon oxide.

13. A method for forming a three-dimensional (3D) memory device, the method comprising:

forming a dielectric level comprising alternating sacrificial and dielectric layers on a substrate;

forming an opening extending vertically through the dielectric level;

etching a side of the sacrificial layer proximate to a sidewall of the opening;

forming a discontinuous layer of dielectric material at the locations where the sacrificial layer is etched; and

a storage layer, a tunneling layer, and a semiconductor channel are then formed on the dielectric layer between the discontinuous dielectric material layer and the discontinuous dielectric material layer.

14. The method of claim 13, further comprising:

forming a memory stack comprising alternating conductor layers and the dielectric layers by replacing the sacrificial layers in the dielectric level with conductor layers.

15. The method of claim 14, wherein the discontinuous layer of dielectric material comprises one or more portions, and

wherein at least one portion is formed in a recess of the conductor layer and is surrounded by adjacent dielectric layers and side faces of the conductor layer.

16. The method of any of claims 13-15, wherein the discontinuous layer of dielectric material is completely discontinuous.

17. The method of any of claims 13-15, wherein the discontinuous layer of dielectric material is partially discontinuous.

18. The method of any of claims 13-15, wherein a side of the sacrificial layer proximate to a sidewall of the opening is etched by 1 to 4 nanometers.

19. The method of any of claims 13-15, wherein forming the discontinuous layer of dielectric material comprises oxidizing the etched side of the sacrificial layer.

20. The method of claim 19, wherein the discontinuous layer of dielectric material is formed by one of thermal oxidation or wet chemical oxidation.

21. The method of claim 19, wherein the sacrificial layer is oxidized to a thickness of 3 to 6 nanometers.

22. The method of any of claims 13-15, wherein the discontinuous layer of dielectric material has a thickness between 4 nanometers and 10 nanometers.

23. The method of any of claims 13-15, wherein at least one of the discontinuous layer of dielectric material and the dielectric layer comprises silicon oxide.

24. The method of any of claims 13-15, wherein the sacrificial layer comprises silicon nitride.

25. The method according to any one of claims 13-15, further comprising: a semiconductor plug is formed at a lower portion of the opening before etching a side surface of the sacrificial layer.

26. The method of any of claims 13-15, wherein the dielectric level comprises an upper level and a lower level separated by a joint oxide layer,

wherein the discontinuous dielectric material layers are also separated by the joint oxide layer, an

Wherein inner sidewalls of the discontinuous layer of dielectric material are substantially flush with inner sidewalls of the joint oxide layer.

27. The method of claim 26, wherein inner sidewalls of the discontinuous layer of dielectric material are substantially flush with inner sidewalls of the dielectric layer.

Background

Embodiments of the present disclosure relate to a three-dimensional (3D) memory device and a method of fabricating the same, and more particularly, to a 3D memory device having an increased joint Critical Dimension (CD) and a method of fabricating the same.

Planar memory cells are scaled to smaller dimensions by improving process technology, circuit design, programming algorithms, and manufacturing processes. However, as the feature size of memory cells approaches the lower limit, planar processes and fabrication techniques become challenging and costly. As a result, the storage density of the planar memory cell approaches the upper limit.

The 3D memory architecture is able to address density limitations in planar memory cells. The 3D memory architecture includes a memory array and peripherals for controlling signals to and from the memory array. This has the advantage that it takes less chip area than a planar memory cell for the same bit density. A memory string in a 3D memory architecture differs from a memory string in a planar memory cell in that the string is arranged vertically above a substrate.

However, as cell sizes continue to shrink, various issues arise with respect to cost, reliability, and performance of existing 3D memory structures and fabrication methods. Therefore, novel 3D memory devices and methods of fabricating the same are needed to address these issues.

Disclosure of Invention

Embodiments of 3D memory devices with increased junction critical dimensions and methods of forming the same are disclosed herein.

In one example, a 3D memory device is disclosed that includes a substrate, a memory stack having a plurality of alternating conductor layers and dielectric layers on the substrate, and a memory string extending vertically through the first memory stack and having a memory film along memory string sidewalls. The memory film includes a discontinuous barrier layer interposed by a dielectric layer.

In another example, a 3D memory device is disclosed that includes a substrate, a memory stack having a plurality of alternating conductor layers and dielectric layers on the substrate, and a memory string extending vertically through the first memory stack and having a memory film along a memory string sidewall. The memory film includes a discontinuous barrier layer interposed by a dielectric layer. The discontinuous barrier layer has a plurality of portions and no adjacent portions are in contact with each other.

In yet another example, a method for forming a 3D memory device is disclosed. A dielectric level comprising alternating sacrificial and dielectric layers is formed on a substrate. An opening is formed that extends vertically through the first dielectric level. The side of the sacrificial layer that is closest to the sidewalls of the opening is etched. A discontinuous barrier layer is formed where the sacrificial layer is etched. A memory layer, a tunneling layer, and a semiconductor channel are then formed on the discontinuous blocking layer and the interposed dielectric layer.

Drawings

The accompanying drawings, which are incorporated herein and form a part of the specification, illustrate embodiments of the present disclosure and, together with the description, further serve to explain the principles of the disclosure and to enable a person skilled in the pertinent art to make and use the disclosure.

Fig. 1 illustrates a cross-sectional view of an exemplary 3D memory device.

Fig. 2A illustrates a cross-section of an example 3D memory device with increased joint critical dimensions, according to some embodiments of the present disclosure.

Fig. 2B illustrates a cross-section of another exemplary 3D memory device with increased joint critical dimensions, according to some embodiments of the present disclosure.

Fig. 3A-3F illustrate an exemplary fabrication process for forming a 3D memory device with increased junction critical dimensions, according to some embodiments of the present disclosure.

Fig. 4 illustrates a flow diagram of an example method for forming a 3D memory device with increased joint critical dimensions, according to some embodiments of the present disclosure.

Embodiments of the present disclosure will be described with reference to the accompanying drawings.

Detailed Description

While specific configurations and arrangements are discussed, it should be understood that this is done for illustrative purposes only. A person skilled in the relevant art will recognize that other configurations and arrangements can be used without parting from the spirit and scope of the disclosure. It will be apparent to those skilled in the relevant art that the present disclosure may also be used in various other applications.

Note that references in the specification to "one embodiment," "an example embodiment," "some embodiments," "other embodiments," etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the relevant art to effect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.

In general, terms may be understood at least in part from the context in which they are used. For example, the term "one or more" as used herein may be used to describe any feature, structure, or characteristic in the singular or may be used to describe a combination of features, structures, or characteristics in the plural, depending, at least in part, on the context. Similarly, terms such as "a," "an," or "the" may also be understood to convey a singular use or a plural use depending, at least in part, on the context. Moreover, the term "based on" may be understood as not necessarily intended to convey an exclusive set of factors, but may allow for the presence of additional factors not necessarily expressly described, again depending at least in part on the context.

It should be readily understood that the meaning of "on", "above" and "over" in this disclosure should be interpreted in the broadest manner, such that "on" means not only directly on something, but also on something with intervening features or layers therebetween, and "on" or "over" means not only on "above" or "over" something, but may also include the meaning that it is "on" or "over" something without intervening features or layers therebetween (i.e., directly on something).

Furthermore, spatially relative terms, such as "below," "lower," "upper," and the like, may be used herein for ease of description to describe one element or feature's relationship to another element or feature as illustrated in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may face in other directions (rotated 90 degrees or at other directions) and the spatially relative descriptors used herein interpreted accordingly as well.

As used herein, the term "substrate" refers to a material on which a subsequent layer of material is added. The substrate itself may be patterned. The material added on top of the substrate may be patterned or may remain unpatterned. In addition, the substrate may include a variety of semiconductor materials, such as silicon, germanium, gallium arsenide, indium phosphide, and the like. Alternatively, the substrate may be made of a non-conductive material, such as glass, plastic, or sapphire wafers.

As used herein, the term "layer" refers to a portion of material that includes a region having a thickness. The layer may extend over the entire structure of the lower or upper layer or may have a smaller extent than the structure of the lower or upper layer. Further, a layer may be a region of uniform or non-uniform continuous structure having a thickness less than the thickness of the continuous structure. For example, a layer may be located between the top and bottom surfaces of a continuous structure or between any pair of horizontal planes at the top and bottom surfaces. The layers can extend horizontally, vertically, and/or along a tapered surface. The substrate may be a layer, may include one or more layers therein, and/or may have one or more layers thereon, and/or thereunder. The layer may comprise a plurality of layers. For example, the interconnect layer may include one or more conductors and contact layers (in which interconnect lines and/or via contacts are formed) and one or more dielectric layers.

As used herein, the term "nominal" refers to a desired or target value, and a range of values above and/or below the desired value, of a characteristic or parameter of a component or process operation that is set at a design stage of a product or process. The range of values may be due to minor variations in manufacturing processes or tolerances. As used herein, the term "about" indicates a value of a given quantity, which may vary based on the particular technology node associated with the subject semiconductor device. The term "about" may indicate a given number of values that vary, for example, within 10-30% of the value (e.g., ± 10%, ± 20% or ± 30% of the value), based on the particular technology node.

As used herein, the term "3D memory device" refers to a semiconductor device having vertically-oriented strings of memory cell transistors (regions referred to herein as "memory strings," e.g., NAND memory strings) on a substrate that is laterally oriented such that the memory strings extend in a vertical direction relative to the substrate. As used herein, the term "vertical" refers to nominally perpendicular to a lateral surface of a substrate.

In fabricating 3D NAND memory devices with advanced technology (e.g., with 96 or more levels), a dual-level architecture is typically used, which includes two stacked channel structures that can be electrically connected by an inter-level plug structure. Before the inter-level plug structure is formed, windows at the inter-level joints may be opened to allow various processes to be performed in the lower channel holes of the structure. However, as cell sizes continue to shrink, the junction CD decreases significantly, narrowing the window at the interbody junction, making it difficult for chemicals used in these processes to enter the lower channel hole.

Fig. 1 shows a cross-sectional view of an exemplary 3D memory device 100. In the fabrication process, the 3D memory device 100 includes NAND memory strings that extend vertically through a dual-level memory stack 107 (including a lower memory level 107A and an upper memory level 107B). Each of the lower and upper memory levels 107A and 107B includes a plurality of pairs, each pair including a dielectric layer 106 and a conductor layer 109 (referred to herein as a "conductor/dielectric layer pair") formed on the substrate 102. The lower and upper memory levels 107A and 107B are separated by a contact oxide layer 103. Channel structures including upper channel holes 124 and lower channel holes 122 are formed through upper memory level 107B and lower memory level 107A, respectively, in which NAND memory strings can be formed.

Substrate 102 comprises silicon (e.g., single crystal silicon), silicon germanium (SiGe), gallium arsenide (GaAs), germanium (Ge), silicon-on-insulator (SOI), germanium-on-insulator (GOI), or any other suitable material. In some embodiments, the substrate 102 is a thinned substrate (e.g., a semiconductor layer) that is thinned by grinding, etching, Chemical Mechanical Polishing (CMP), or any combination thereof. Note that the x-axis and y-axis are included in fig. 1 to further illustrate the spatial relationship of the components in the 3D memory device 100. The substrate 102 of the 3D memory device 100 includes two lateral surfaces (e.g., a top surface and a bottom surface) that extend laterally in the x-direction (i.e., laterally). As used herein, whether one component (e.g., layer or device) of a 3D memory device (e.g., 3D memory device 100) is "above," "over," or "under" another component (e.g., layer or device) is determined in the y-direction when the substrate is located in the lowermost plane of the 3D memory device in the y-direction (i.e., vertical direction) relative to the substrate of the 3D memory device (e.g., substrate 102). The same concepts that describe spatial relationships apply throughout this disclosure.

Each "NAND" memory string includes a memory film 110 that extends vertically through upper and lower memory levels 107B and 107A. The memory film 110 includes a tunneling layer 116, a storage layer 114 (also referred to as a "charge trapping layer"), and a blocking layer 112. The NAND memory string also includes a semiconductor channel 118, the semiconductor channel 118 being formed by filling a semiconductor material in the channel structure. A semiconductor plug 105 is provided in the lower portion of the NAND memory string in contact with the semiconductor channel 118 and serves as a channel controlled by the source select gate of the NAND memory string.

The diameter of the cylindrical shape at the location of the joint oxide layer 103 is represented as the joint Critical Dimension (CD) as shown in fig. 1. The value of the connector CD may directly affect the likelihood that various chemicals may pass through the connector from the upper channel hole 124 to the lower channel hole 122, since the connector CD is typically the smallest CD of all CDs in the upper portion of the channel structure. The conventional technique faces the problem of narrow junction CDs because the memory membrane (e.g., memory membrane 110) along the sidewall of the channel structure is so thick that it occupies most of the opening at the junction CD, thus making it difficult for material to enter the lower portion of the channel structure. For example, when the wet chemistry used to etch silicon oxide in the lower portion of the channel structure is unable to access the lower portion, underetching may result in a very thick layer on the polysilicon at the bottom of the lower portion of the channel structure. In another example, overetch may result in damage to the polysilicon when the wet chemistry used to clean the polysilicon semiconductor channel after it is formed is difficult to remove from the lower portion. In yet another example where dry etching is applied, a narrow junction CD may result in underetching of the oxide-nitride-oxide (ONO) film at the bottom of the lower portion of the channel structure. This may further lead to failure of defect detection, such as Dark Voltage Contrast (DVC) failure. These situations are undesirable in semiconductor manufacturing processes.

Various embodiments according to the present disclosure provide an efficient structure and method for increasing the splice CD. More specifically, at least a portion of the silicon nitride layer immediately adjacent to the sidewall of the via hole is etched and then oxidized to form a native oxide layer prior to depositing the memory film. Thus, the CD of the via hole adjacent to the joint between the two levels may be increased and further processes may be performed in the lower via hole of the architecture.

Fig. 2A illustrates a cross-sectional view of an example 3D memory device 200 with increased connector CDs, according to some embodiments of the present disclosure. As with the 3D memory device 100 shown in fig. 1, the 3D memory device 200 in fig. 2A may also include a substrate 202, the substrate 202 having the same or similar characteristics and implementations as the substrate 102 in fig. 1. Thus, the description of the substrate 102 may be similarly applied herein and thus will not be repeated.

The 3D memory device 200 may be part of a monolithic 3D memory device. The term "monolithic" means that components of a 3D memory device (e.g., peripheral devices and memory array devices) are formed on a single substrate. For monolithic 3D memory devices, additional limitations are encountered in manufacturing due to the complexity of peripheral device processing and memory array device processing. For example, the fabrication of memory array devices (e.g., "NAND" memory strings) is limited by the thermal budget associated with peripheral devices that have been or will be formed on the same substrate.

Alternatively, the 3D memory device 200 may be part of a non-monolithic 3D memory device, where components (e.g., a peripheral device and a memory array device) may be formed separately on different substrates and then bonded, for example, in a face-to-face manner. In some embodiments, the memory array device substrate (e.g., substrate 202) remains the substrate of the bonded non-monolithic 3D memory device, and the peripheral devices (e.g., including any suitable digital, analog, and/or mixed-signal peripheral circuitry for facilitating operation of 3D memory device 200, such as page buffers, decoders, and latches; not shown) are flipped and face down toward the memory array device (e.g., a "NAND" memory string) for hybrid bonding. It should be understood that in some embodiments, the memory array device substrate (e.g., substrate 202) is flipped and faced down towards the peripheral devices (not shown) for hybrid bonding such that in a bonded non-monolithic 3D memory device, the memory array device is above the peripheral devices. The memory array device substrate (e.g., substrate 202) may be a thinned substrate (which is not a substrate for a bonded non-monolithic 3D memory device), and back-end-of-line (BEOL) interconnects for the non-monolithic 3D memory device may be formed on a backside of the thinned memory array device substrate.

According to an embodiment of the present disclosure, the 3D memory device 200 is a NAND flash memory device in which memory cells are provided in the form of an array of NAND memory strings, each memory string extending vertically above a substrate 202. Each NAND memory string may extend through multiple layer pairs, each pair comprising a dielectric layer 206 and a conductor layer 209 (referred to herein as a "conductor/dielectric layer pair") formed on the substrate 202 in the fabrication process. Figure 2A shows a dual-level memory stack 207 (including a lower memory level 207A and an upper memory level 207B) through which NAND memory strings extend vertically. The number of conductor/dielectric layer pairs (e.g., 32, 64, 96, 128, or any other number compatible with the present disclosure) in the memory stack 207 may set the number of memory cells in the 3D memory device 200. As shown in fig. 2A, the lower and upper memory layers 207A and 207B may be separated by a joint oxide layer 203.

In some embodiments, a dielectric stack (not shown) is formed in the middle of the fabrication process, the dielectric stack comprising pairs of multiple layers, each pair comprising a dielectric layer (i.e., dielectric layer 206) and a sacrificial layer. Near the end of the fabrication process, memory stack 207 replaces the dielectric stack by a gate replacement process that replaces each sacrificial layer with a conductor layer (i.e., conductor layer 209). After gate replacement, conductor layers 209 and dielectric layers 206 in memory stack 207 may be alternated in the vertical direction, thereby creating a memory stack comprising a plurality of alternating conductor layers 209 and dielectric layers 206 on substrate 202. In other words, each conductor layer 209 may be bordered by two dielectric layers 206 on both sides, and each dielectric layer 206 may be bordered by two conductor layers 209 on both sides, except for those conductor layers at the top and bottom of the memory stack. The conductor layers 209 may each have the same thickness or different thicknesses. Similarly, the dielectric layers 206 may each have the same thickness or different thicknesses. Likewise, the conductor layer 209 and the dielectric layer 206 may each have the same thickness or different thicknesses. The conductive layer 209 may comprise a conductive material including, but not limited to, tungsten (W), cobalt (Co), copper (Cu), aluminum (Al), polysilicon, doped silicon, silicide, or any combination thereof. The dielectric layer 206 may comprise a dielectric material including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof. In some embodiments, an insulating layer (not shown) is formed between the substrate 202 and the memory stack and comprises a dielectric material, such as silicon oxide.

In accordance with embodiments of the present disclosure, a channel structure including upper channel hole 224 and lower channel hole 222 may be formed through upper memory level 207B and lower memory level 207A, respectively, in which NAND memory strings may be formed. The "NAND" memory string can also include memory film 210, the memory film 210 extending vertically through the upper and lower memory levels 207B and 207A and along the sidewalls of the "NAND" memory string. The memory film 210 may include a tunneling layer 216, a storage layer 214 (also referred to as a "charge trapping layer"), and a blocking layer 212. The tunneling layer 216 may include silicon oxide, silicon oxynitride, or any combination thereof. The memory layer 214 may include silicon nitride, silicon oxynitride, silicon, or any combination thereof. The barrier layer 212 may include silicon oxide, silicon oxynitride, a high dielectric constant (high- κ) dielectric, or any combination thereof. In one example, the memory film 210 may include a composite layer of silicon oxide/silicon oxynitride/silicon oxide (ONO).

As shown in fig. 2A, the "NAND" memory string of the 3D memory device 200 may also include a semiconductor channel 218, which may be formed by filling a semiconductor material in the channel structure. In some embodiments, the semiconductor channel 218 may include silicon, such as amorphous silicon, polycrystalline silicon, or monocrystalline silicon. In a subsequent process, the remaining space of the via holes 222 and 224 may be partially or entirely filled with a filling layer including a dielectric material (e.g., silicon oxide). Similar to the channel structure of the 3D memory device 100, the channel structure of the 3D memory device 200 may also have a cylindrical shape (e.g., a pillar shape). According to some embodiments, the fill layer, the semiconductor channel 218, the tunneling layer 216, the storage layer 214, and the blocking layer 212 may be arranged radially in this order from the center to the outer surface of the pillar.

In some embodiments, the semiconductor plugs 205 are provided at a lower portion (e.g., at a lower end) of the NAND memory strings. As used herein, when the substrate 202 is located in the lowest plane of the 3D memory device 200, the "upper end" of a component (e.g., a NAND memory string) is the end that is distal from the substrate 202 in the y-direction, while the "lower end" of the component (e.g., a NAND memory string) is the end that is proximal to the substrate 202 in the y-direction. The semiconductor plugs 205 may include a semiconductor material, such as silicon, that is epitaxially grown in any suitable direction from the substrate 202. It should be understood that in some embodiments, the semiconductor plug 205 comprises monocrystalline silicon, the same material as the substrate 202. In other words, the semiconductor plugs 205 may include an epitaxially grown semiconductor layer of the same material as the substrate 202. In some embodiments, a portion of the semiconductor plug 205 is above the top surface of the substrate 202 and in contact with the semiconductor channel. The semiconductor plugs 205 may serve as channels controlled by the source select gates of the NAND memory strings.

According to embodiments consistent with the present disclosure, barrier layer 212 may be a discontinuous barrier layer interposed by dielectric layer 206. As shown in fig. 2A, the barrier layer 212 may include a plurality of small portions, adjacent portions along the vertical direction being separated by the dielectric layer 206. In some embodiments, the number of the small portions may be equal to the number of the conductor layers 209 in the vertical direction. Each small portion abuts against the storage layer 214 at one end and against one side of one of the conductor layers 209 at the other end, and is located in a recess of the conductor layer 209 surrounded by two adjacent dielectric layers 206 and one side of the conductor layer 209. Each small portion of the discontinuous barrier layer 212 may be formed by: one side of the sacrificial layer (which is subsequently replaced by the conductor layer 209) next to the sidewalls of the channel structures is etched and then an oxide layer is formed by an oxidation process, such as thermal oxidation or wet chemical oxidation (e.g., using ozone-containing chemistry), as will be described in detail below in connection with fig. 3A-3F.

The barrier layer 212 shown in fig. 2A is completely discontinuous, meaning that none of the adjacent small portions of the barrier layer 212 are in contact with each other. For example, in fig. 2A, the small portions 2121 and 2122 are adjacent small portions of the barrier layer 212, and they are completely separated by one dielectric layer 2061 and covered by the storage layer 214.

According to some other embodiments consistent with the present disclosure, the barrier layer 212 shown in fig. 2B may be partially discontinuous, meaning that at least two adjacent segments (e.g., segments 2121 and 2122) are connected via a contiguous vertical layer 2123, which contiguous vertical layer 2123 protrudes from the segments and covers one side of the dielectric layer 2061 located between the two adjacent segments. The contiguous vertical layers may be formed by the same oxidation process that forms the small portions 2121 and 2122 of the discontinuous barrier layer 212 and may stand out due to shallow grooves created by etching the sacrificial layer before forming the small portions 2121 and 2122 in those grooves. In other embodiments, more than two adjacent fractions, e.g., three, four, five, ten, or all fractions, may be connected via one or more contiguous vertical layers. Other portions of the 3D memory device 250 in fig. 2B are similar to those of the 3D memory device 200 described in conjunction with fig. 2A, and thus their location and function are not repeated herein.

Returning to fig. 2A, in some embodiments, where dielectric layer 206 comprises silicon oxide and the sacrificial layer comprises silicon nitride, barrier layer 212 may comprise silicon oxide. It should be understood that the native oxide may be entirely silicon oxide, entirely silicon oxynitride, and a mixture of silicon oxide and silicon oxynitride depending on the oxidation process (e.g., the extent to which nitrogen atoms and ions are removed from the native oxide). As a result, in some embodiments, barrier layer 212 includes both silicon oxide and silicon oxynitride.

Because the discontinuous barrier layer 212 may include multiple small portions formed in the recesses of the conductor layer 209 in the dual-level memory stack 207, the overall thickness of the memory film 210 protruding from the sidewalls of the channel structure may be significantly reduced, thereby increasing the CD of the lower and upper channel holes 222 and 224 and the contact CD at or near the contact oxide layer 203. The increased joint window makes it easier for chemicals to enter the lower channel hole 222 during various processes performed in the lower portion of the channel structure, thereby inhibiting the occurrence of over-etching and under-etching as described above.

In some embodiments, the thickness of the discontinuous barrier layer 212, as measured by the horizontal width between the end proximate to the memory layer 214 and the other end proximate to the side of one of the conductor layers 209, may be between about 4 nanometers and about 10 nanometers, such as between 4 nanometers and 10 nanometers (e.g., 4 nanometers, 4.5 nanometers, 5 nanometers, 5.5 nanometers, 6 nanometers, 6.5 nanometers, 7 nanometers, 7.5 nanometers, 8 nanometers, 8.5 nanometers, 9 nanometers, 9.5 nanometers, 10 nanometers, any range bounded by any one of these values at the lower end, or any range bounded by any two of these values). In some embodiments, the discontinuous barrier layer 212 may have a thickness between about 6 nanometers and about 8 nanometers, which is closer to a thickness of 7 nanometers in the barrier layer 112 (shown in fig. 1), and therefore does not require significant changes in subsequent fabrication processes. In accordance with the present disclosure, since portions of the barrier layer 212 may be formed in the recesses of the conductor layer 209, the CDs of the lower and upper via holes 222, 224 and the junction CDs at or near the junction oxide layer 203 may have a gain of twice the saved thickness of the barrier layer 212, which ranges between about 8 nanometers and about 20 nanometers. Compared to fig. 1, the CD and linker CD may have a gain of about 14 nanometers.

Although discontinuously embedded in the recess of the conductor layer 209, the barrier capability of the barrier layer 212 according to the present disclosure does not become worse than the barrier layer of the prior art, since the sides of the dielectric layer 206 may also provide the barrier capability due to the insertion of the dielectric layer 206. The dielectric layer 206 may include a dielectric material (e.g., silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof) similar to the barrier layer 212. Thus, in the present disclosure, the discontinuous barrier layer 212 and the dielectric layer 206 collectively form a continuous barrier layer along the sidewalls of the channel structure.

In some embodiments, a discontinuous barrier layer 212 may extend through upper and lower memory levels 207B and 207A vertically and along sidewalls of a "NAND" memory string as shown in figure 2A as part of memory film 210. This configuration has the advantage of preventing the entire sidewall of the channel structure from being etched in a subsequent manufacturing process.

In some embodiments, the sidewalls of the discontinuous barrier layer 212 may be substantially flush with the sidewalls of the joint oxide layer 203. This configuration makes it possible to achieve thickness uniformity of the storage layer 214 and/or the tunneling layer 216 in the CD area of the joint. As a result, the memory film 210 is less likely to break in the CD area of the tab, which would otherwise result in defects in the 3D memory device 200. The term "flush" as used herein describes the flatness of a surface formed by two adjacent sidewalls. When used to describe the relative position between the sidewalls of the discontinuous barrier layer 212 and the sidewalls of the joint oxide layer 203, the term "substantially" means that the sidewalls of the two layers may be perfectly flush or have a small offset of no more than about ± 1 nanometer from each other (e.g., ± 0.1 nanometer, ± 0.2 nanometer, ± 0.5 nanometer, ± 1 nanometer, any range bounded by any one of these values at the lower end, or any range bounded by any two of these values). This is because sometimes the manufacturing process may not be accurate enough to align the sidewalls of the two layers with each other. However, it is not necessary that the sidewalls of the different layers have absolute alignment, as long as the intended results of the present disclosure are obtained.

In some embodiments, the sidewalls of the discontinuous barrier layer 212 may be substantially flush with the sidewalls of the dielectric layer 206. Similar to the above embodiments, this configuration makes it possible to achieve thickness uniformity of the storage layer 214 and/or the tunneling layer 216 in the entire vertical area across the channel structure. As a result, the memory film 210 is less likely to have a break in the channel structure, which would otherwise result in a defect in the 3D memory device 200.

Fig. 3A-3E illustrate an exemplary fabrication process for forming a 3D memory device 300 with increased joint CDs, according to some embodiments of the present disclosure. Fig. 4 illustrates a flow diagram of an example method 400 for forming a 3D memory device with an increased connector CD, according to some embodiments of the present disclosure. Examples of the 3D memory device 300 shown in fig. 3A-3E and 4 include the 3D memory devices 100, 200, and 250 shown in fig. 1, 2A, and 2B. Fig. 3A to 3E and fig. 4 will be described together. It should be understood that the operations shown in method 400 are not comprehensive, and that other operations may be performed before, after, or between any of the shown operations. Further, some operations may be performed concurrently, or in a different order than shown in FIG. 4.

Referring to fig. 4, a method 400 begins with operation 402 in which a dielectric level is formed on a substrate. The substrate may be a silicon substrate. The dielectric level may include a plurality of alternating sacrificial layers and dielectric layers. In some embodiments, each sacrificial layer comprises silicon nitride and each dielectric layer comprises silicon oxide.

Referring to fig. 3A, a 3D memory device 300 during a fabrication process may include a dual level dielectric stack 304 (including a lower dielectric stack 304A and an upper dielectric stack 304B), according to some embodiments of the present disclosure. Each of lower dielectric level 304A and upper dielectric level 304B may include a plurality of dielectric layer 306 and sacrificial layer 308 pairs (referred to herein as "dielectric layer pairs") formed on silicon substrate 302. Dielectric layers 306 and sacrificial layers 308 may be alternately deposited on silicon substrate 302. In some embodiments, an insulating layer (not shown) may be formed between the dielectric stack 304 and the silicon substrate 302 by depositing a dielectric material (e.g., silicon oxide) on the silicon substrate 302 prior to forming the dielectric stack 304, or by performing thermal oxidation. In some embodiments, each dielectric layer 306 comprises a silicon oxide layer and each sacrificial layer 308 comprises a silicon nitride layer. The dielectric stack 304 may be formed by one or more thin film deposition processes including, but not limited to, CVD, PVD, ALD, or any combination thereof.

The method 400 proceeds to operation 404, as shown in fig. 4, where an opening is formed that extends vertically through the dielectric level. As shown in fig. 3A, the via hole 320 is an opening formed vertically extending through the dielectric stack 304. The passage holes 320 may include an upper passage hole 324 and a lower passage hole 322. In some embodiments, multiple openings are formed through the dielectric stack 304 such that each opening becomes a location for growing a single NAND memory string in subsequent processes. In some embodiments, the fabrication process for forming the via hole 320 includes wet etching and/or dry etching, such as reactive ion etch-back (DRIE). In some embodiments, the via hole 320 further extends through the top of the silicon substrate 302. The etching process through the dielectric stack 304 may not stop at the top surface of the silicon substrate 302 and may continue to etch portions of the silicon substrate 302. In some embodiments, after etching through the dielectric stack 304, a separate etch process is used to etch portions of the silicon substrate 302.

In some embodiments, the semiconductor plug is formed at a lower portion of the opening. The semiconductor plug may be epitaxially grown from the substrate in a lower portion of the opening. In some embodiments, the semiconductor plugs are epitaxially grown silicon plugs. As shown in fig. 3A, the silicon plug 305 may be formed by filling a lower portion of the lower via hole 322 with single crystal silicon epitaxially grown from the silicon substrate 302 in any suitable direction (e.g., from a bottom surface and/or side surfaces). The fabrication process of the epitaxially grown silicon plug 305 may include, but is not limited to, Vapor Phase Epitaxy (VPE), Liquid Phase Epitaxy (LPE), molecular beam epitaxy (MPE), or any combination thereof.

The method 400 proceeds to operation 406, as shown in FIG. 4, where a side of the sacrificial layer proximate to the sidewall of the opening is etched. Because the sacrificial layer comprises silicon nitride, an etch process that etches back the silicon nitride may be performed to open one or more recesses of the sacrificial layer in the dual-level-face dielectric stack. In some embodiments, one recess may be opened for each sacrificial layer.

Referring to fig. 3B, the recess 311 may be opened by etching back the sacrificial layer 308 from the side immediately adjacent to the opening sidewall, according to some embodiments of the present disclosure. Each recess 311 may be surrounded by two adjacent dielectric layers 306 and one side of a sacrificial layer 308, a portion of which is etched. As an example of an etching process, an etchant (e.g., phosphoric acid (H)) may be included3PO4) ) is applied to the 3D memory device 300, a wet etch is performed during the fabrication process that etches silicon nitride at a much faster rate (e.g., more than ten times faster) than silicon oxide. Accordingly, the sides of sacrificial layer 308 may be etched to create recesses, such as recesses 311 shown in FIG. 3B, between adjacent dielectric layers 306. It should be understood that other etching methods, such as dry etching, may also be used to create the grooves, so long as the desired results taught by the present disclosure are achieved. The grooves are locations where small portions of the discontinuous barrier 312 may subsequently be formed.

In some embodiments, the horizontal depth of the recess in the sacrificial layer 308 is controlled to be between about 1 nanometer and about 4 nanometers, such as between 1 nanometer and 4 nanometers (e.g., 1 nanometer, 1.5 nanometers, 2 nanometers, 2.5 nanometers, 3 nanometers, 3.5 nanometers, 4 nanometers, any range bounded by any one of these values at the lower end, or any range bounded by any two of these values). The depth can be controlled by the duration of the etch, as the etch rate of a particular solution or etchant to silicon nitride is known or can be determined experimentally. For example, at a given temperature (e.g., in the range of 150 ℃ to 180 ℃), phosphoric acid may have an etch rate of about 1 nanometer to about 10 nanometers per minute. Thus, etching the sacrificial layer 308 up to 3 nanometers may take about 18 seconds to 3 minutes.

The method 400 proceeds to operation 408, as shown in fig. 4, where a discontinuous barrier layer is formed where the sacrificial layer is etched. The barrier layer may include native oxide of at least some of the sacrificial layers. In some embodiments, to form a discontinuous barrier, the portions of the sacrificial layer immediately adjacent the sidewalls of the openings are oxidized to native oxide. The barrier layer may be formed by thermal oxidation or wet chemical oxidation. In some embodiments, the barrier layer has a thickness between about 4 nanometers and about 10 nanometers, such as 7 nanometers. The barrier layer, together with the interposed dielectric layer, may form a continuous barrier layer along the sidewalls of the channel structure.

Referring to fig. 3C, a barrier layer 312 may be formed where sacrificial layer 308 is etched, according to some embodiments of the present disclosure. These locations are recesses 311 opened by etching, as shown in fig. 3B. Because the recess 311 is interposed by the dielectric layer 306 and is not continuous, the barrier layer 312 formed therein is also discontinuous and may include a plurality of small portions, with adjacent portions in the vertical direction being separated by the dielectric layer 306. In some embodiments, the number of small portions may be equal to the number of sacrificial layers 308 along the vertical direction. Each small portion abuts against the storage layer 314 (shown in fig. 3D) at one end and against one side of one layer of the sacrificial layer 308 (and the conductor layer 309 subsequently replacing the sacrificial layer 308) at the other end and is located in a recess of the sacrificial layer 308.

In some embodiments, none of the adjacent small portions of barrier layer 312 are in contact with each other, and thus barrier layer 312 is completely discontinuous, as discussed above in connection with fig. 2A. In some other embodiments, at least two adjacent sub-portions are connected by a contiguous vertical layer (not shown in fig. 3C) that protrudes from the sub-portion and covers one side of the dielectric layer located between the two adjacent sub-portions, so that the barrier layer 312 is partially discontinuous as discussed above in connection with fig. 2B.

In some embodiments, the thickness of the discontinuous barrier layer 312 may be between about 4 nanometers and about 10 nanometers, such as between 4 nanometers and 10 nanometers (e.g., 4 nanometers, 4.5 nanometers, 5 nanometers, 5.5 nanometers, 6 nanometers, 6.5 nanometers, 7 nanometers, 7.5 nanometers, 8 nanometers, 8.5 nanometers, 9 nanometers, 9.5 nanometers, 10 nanometers, any range defined by any one of these values below, or any range defined by any two of these values). In other embodiments, the discontinuous barrier layer 312 may have a thickness between about 6 nanometers and about 8 nanometers, which is closer to a thickness of 7 nanometers in the barrier layer 112 (as shown in fig. 1), and therefore does not require significant changes in subsequent fabrication processes. Similar to the 3D memory device 200, the CD of the via hole and the plug CD in the 3D memory device fabricated by the method 400 may have a gain of twice the saved thickness of the barrier layer 312, which ranges between about 8 nanometers and about 20 nanometers. Compared to fig. 1, the CD and linker CD may have a gain of about 14 nanometers.

In accordance with the present disclosure, a small portion of the discontinuous barrier layer 312 may be formed by oxidizing the etched side of the sacrificial layer 308 to form a native oxide having a thickness within the above-described range. In some embodiments, the native oxide of the portion of the sacrificial layer 308 immediately adjacent to the recess 311 is oxidized by a thermal oxidation process. Dry oxidation using molecular oxygen as the oxidant or wet oxidation using water vapor as the oxidant may both be used to form the native oxide at temperatures of, for example, no greater than about 850 ℃. In some embodiments, the thermal oxidation is performed between about 500 ℃ to about 850 ℃, such as between 500 ℃ to 850 ℃ (e.g., 500 ℃, 550 ℃, 600 ℃, 650 ℃, 700 ℃, 750 ℃, 800 ℃, 850 ℃, any range defined by any one of these values below, or any range defined by any two of these values). In some embodiments, the thermal oxidation is performed at about 700 ℃, for example 700 ℃. Since the thermal oxidation combines silicon consumed from the dielectric stack 304 with oxygen supplied from the ambient environment, a small portion of the discontinuous barrier layer 312 may grow from its etched side to the end of the sacrificial layer 308 and towards the via hole 320, resulting in a partial native oxide layer thickness at the location where the recess 311 is formed and inside a portion of the sacrificial layer 308. The thickness of the resulting native oxide layer may be controlled by the thermal oxidation temperature and/or time, examples of which have been described above. In one example, the top of the oxidized sacrificial layer 308 may have a thickness of about 3 nanometers to about 6 nanometers (e.g., 3 nanometers, 3.5 nanometers, 4 nanometers, 4.5 nanometers, 5 nanometers, 5.5 nanometers, 6 nanometers, any range bounded by any one of these values below, or any range bounded by any two of these values), such that when the horizontal depth of the recess 311 in the sacrificial layer 308 is controlled between about 1 nanometer and about 4 nanometers, the resulting native oxide layer may have a thickness of between about 4 nanometers and about 10 nanometers.

In some embodiments, the native oxide of the portions of the sacrificial layer 308 immediately adjacent to the recesses 311 is oxidized by a wet chemical oxidation process. A wet chemistry comprising ozone may be used to oxidize the portion of the sacrificial layer 308 immediately adjacent to the recess 311 to form a native oxide. In some embodiments, the wet chemistry is a mixture of hydrofluoric acid and ozone (e.g., FOM). For example, the concentration of hydrofluoric acid in ultrapure water is 49%. The thickness of the resulting native oxide layer may be controlled by wet chemistry composition, temperature, and/or time. It should be appreciated that small portions of the discontinuous barrier 312 may be formed using any other suitable process, such as an In Situ Steam Generation (ISSG) process that uses oxygen and hydrogen to generate water in the form of steam.

In some embodiments, sacrificial layer 308 comprises silicon nitride and dielectric layer 306 comprises silicon oxide. Oxidation of the silicon nitride in sacrificial layer 308 may produce silicon oxynitride, which may remain with the silicon oxide in discontinuous barrier layer 312 or be partially or completely removed from discontinuous barrier layer 312 using any suitable process. However, the discontinuous barrier layer 312 and the dielectric layer 306 may collectively form a continuous barrier layer along the sidewalls of the channel structure.

In accordance with some embodiments of the present disclosure, a discontinuous barrier layer 312 may extend vertically through both the upper and lower dielectric levels 304B and 304A and along the sidewalls of the NAND memory string, as shown in figure 3C. This configuration has the advantage of preventing the entire sidewall of the channel structure from being etched in a subsequent manufacturing process.

In some embodiments, the discontinuous barrier layer 312 may be formed such that its sidewalls are substantially flush with the sidewalls of the joint oxide layer 303. This configuration makes it possible to achieve thickness uniformity of the storage layer 314 (shown in fig. 3D) and/or the tunneling layer 316 (shown in fig. 3D) in the joint CD region. As a result, the memory film 310 (shown in fig. 3D) is less likely to have a break in the CD area of the tab, which would otherwise result in a defect in the 3D memory device 300.

In some embodiments, the discontinuous barrier layer 312 may be formed such that its sidewalls may be substantially flush with the sidewalls of the dielectric layer 206. Similar to the above described embodiments, this configuration makes it possible to achieve thickness uniformity of the storage layer 314 and/or the tunneling layer 316 in the entire vertical area across the channel structure. As a result, the memory film 310 is less likely to have a break in the channel structure, which would otherwise result in a defect in the 3D memory device 300.

The method 400 proceeds to operation 410, as shown in fig. 4, where a barrier layer, a storage layer, and a tunneling layer are then formed in this order on the discontinuous barrier layer and the interposed dielectric layer and along the sidewalls of the opening. In some embodiments, to form the memory layer, a silicon nitride layer or a silicon oxynitride layer is deposited on the discontinuous barrier layer and the interposed dielectric layer.

Referring to fig. 3D, a memory film 310 (including a discontinuous barrier layer 312, a memory layer 314, and a tunneling layer 316) is formed along the sidewalls of a via hole 320 (as shown in fig. 3A). The storage layer 314 (e.g., a silicon nitride layer or a silicon oxynitride layer) and the tunneling layer 316 (e.g., a silicon oxide layer) may then be sequentially deposited on the discontinuous barrier layer 312 and the interposed dielectric layer 306 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof. In some embodiments, a silicon nitride layer or a silicon oxynitride layer is deposited on the discontinuous barrier layer 312 and interposes the dielectric layer 306 as the storage layer 314. In some embodiments, the memory film 310 may completely cover the sidewall of the channel hole 320.

The method 400 proceeds to operation 412 as shown in fig. 4, where a semiconductor channel is subsequently formed on the tunneling layer.

As shown in fig. 3E, a semiconductor channel 318 can be formed on the tunneling layer 316 of the memory film 310 using one or more thin film deposition processes, such as CVD, PVD, ALD, electroplating, electroless plating, or any combination thereof. In some embodiments, the semiconductor channel 318 comprises polysilicon. In some embodiments, a capping layer (not shown), such as a silicon oxide layer, may be formed in the via hole 320 using one or more thin film deposition processes, such as CVD, PVD, ALD, electroplating, electroless plating, or any combination thereof, to completely or partially fill the remaining space of the via hole 320. As shown in fig. 3D, a channel structure including a discontinuous blocking layer 312, a storage layer 314, a tunneling layer 316, a semiconductor channel 318, and a capping layer is thus formed. The discontinuous barrier layer 312 may be the outermost layer of the channel structure in contact with the alternating dielectric layers 306 and 308 of the dielectric stack 304. In the present disclosure, the storage layer 314 may also be in contact with alternating dielectric layers 306 and 308 of the dielectric stack 304.

As shown in fig. 3F, after the NAND memory string shown in fig. 3A-3E is formed, a dual-level memory stack 307 can be formed by replacing sacrificial layer 308 in lower dielectric level 304A and upper dielectric level 304B with conductor layer 309. Memory stack 307 may include alternating conductor layers 309 and dielectric layers, and may be formed by replacing sacrificial layer 308 in dielectric stack 304 with conductor layer 309. In some embodiments, to form the memory stack 307, a slit opening (e.g., a gate line slit) may be formed through the dielectric stack 304, the sacrificial layer 308 in the dielectric stack 304 may be etched by applying an etchant through the slit opening to form a plurality of lateral grooves, and a conductor layer 309 may be deposited in the lateral grooves. In some embodiments, in forming the memory stack 307, the first dielectric layer of the dielectric stack 304 is etched until stopped by the native oxide layer.

According to one aspect of the disclosure, a 3D memory device is disclosed that includes a substrate, a memory stack having a plurality of alternating conductor layers and dielectric layers on the substrate, and a memory string extending vertically through the first memory stack and having a memory film along memory string sidewalls. The memory film includes a discontinuous barrier layer interposed by a dielectric layer.

In some embodiments, the discontinuous barrier layer comprises one or more portions, and at least one portion is located in a recess of the conductor layer and is surrounded by adjacent dielectric layers and one side of the conductor layer.

In some embodiments, the discontinuous barrier layer is completely discontinuous.

In some embodiments, the discontinuous barrier layer is partially discontinuous.

In some embodiments, the discontinuous barrier layer has a thickness between about 4 nanometers and about 10 nanometers.

In some embodiments, the memory film further comprises a storage layer and a tunneling layer.

In some embodiments, at least one of the discontinuous barrier layer and the dielectric layer comprises silicon oxide.

In some embodiments, a memory stack includes an upper deck and a lower deck separated by a joint oxide layer. The discontinuous barrier layer extends through the upper level and the lower level, and sidewalls of the discontinuous barrier layer are substantially flush with sidewalls of the joint oxide layer.

In some embodiments, the sidewalls of the discontinuous barrier layer are substantially flush with the sidewalls of the dielectric layer.

According to another aspect of the disclosure, a 3D memory device is disclosed that includes a substrate, a memory stack having a plurality of alternating conductor layers and dielectric layers on the substrate, and a memory string extending vertically through the first memory stack and having a memory film along memory string sidewalls. The memory film includes a discontinuous barrier layer interposed by a dielectric layer. The discontinuous barrier layer has a plurality of portions and none of the adjacent portions are in contact with each other.

In some embodiments, the discontinuous barrier layer has a thickness between about 4 nanometers and about 10 nanometers.

In some embodiments, the memory film further comprises a storage layer and a tunneling layer.

In some embodiments, at least one of the discontinuous barrier layer and the dielectric layer comprises silicon oxide.

In some embodiments, a memory stack includes an upper deck and a lower deck separated by a joint oxide layer. The discontinuous barrier layer extends through the upper level and the lower level, and sidewalls of the discontinuous barrier layer are substantially flush with sidewalls of the joint oxide layer.

In some embodiments, the sidewalls of the discontinuous barrier layer are substantially flush with the sidewalls of the dielectric layer.

According to another aspect of the present disclosure, a method for forming a 3D memory device is disclosed. A dielectric level comprising alternating sacrificial and dielectric layers is formed on a substrate. An opening is formed that extends vertically through the first dielectric level. The side of the sacrificial layer that is closest to the sidewalls of the opening is etched. A discontinuous barrier layer is formed where the sacrificial layer is etched. A memory layer, a tunneling layer, and a semiconductor channel are then formed on the discontinuous blocking layer and the interposed dielectric layer.

In some embodiments, the discontinuous layer comprises one or more portions, and at least one portion is formed in a recess of the conductor layer and is surrounded by adjacent dielectric layers and one side of the conductor layer.

In some embodiments, the discontinuous barrier layer is completely discontinuous.

In some embodiments, the discontinuous barrier layer is partially discontinuous.

In some embodiments, a memory stack having alternating conductor layers and dielectric layers is formed by replacing a sacrificial layer in a dielectric stack with a conductor layer.

In some embodiments, the side of the sacrificial layer immediately adjacent to the sidewall of the opening is etched by about 1 to 4 nanometers.

In some embodiments, forming the discontinuous barrier layer includes oxidizing the etched side of the sacrificial layer.

In some embodiments, the discontinuous barrier layer is formed by one of thermal oxidation or wet chemical oxidation.

In some embodiments, the thickness of the oxidized sacrificial layer is from about 3 nanometers to about 6 nanometers.

In some embodiments, the discontinuous barrier layer has a thickness between about 4 nanometers and about 10 nanometers.

In some embodiments, at least one of the discontinuous barrier layer and the dielectric layer comprises silicon oxide.

In some embodiments, the sacrificial layer comprises silicon nitride.

In some embodiments, the method for forming a 3D memory device further includes forming a semiconductor plug at a lower portion of the opening before etching the side of the sacrificial layer.

In some embodiments, the dielectric cap layer includes an upper layer and a lower layer separated by a joint oxide layer. The discontinuous barrier layer extends through the upper level and the lower level, and sidewalls of the discontinuous barrier layer are substantially flush with sidewalls of the joint oxide layer.

In some embodiments, the sidewalls of the discontinuous barrier layer are substantially flush with the sidewalls of the dielectric layer.

The foregoing description of the specific embodiments will so reveal the general nature of the disclosure that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present disclosure. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance.

Embodiments of the present disclosure have been described above with the aid of functional building blocks illustrating the implementation of specific functions and relationships thereof. The boundaries of these functional building blocks have been arbitrarily defined herein for the convenience of the description. Alternate boundaries can be defined so long as the specified functions and relationships thereof are appropriately performed.

The summary and abstract sections may set forth one or more, but not all exemplary embodiments of the disclosure as contemplated by the inventors, and are therefore not intended to limit the disclosure and the appended claims in any way.

The breadth and scope of the present disclosure should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

26页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:三维存储器及其制作方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类