Remote plasma based deposition of boron nitride, boron carbide and boron carbon nitride films

文档序号:722902 发布日期:2021-04-16 浏览:20次 中文

阅读说明:本技术 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积 (Remote plasma based deposition of boron nitride, boron carbide and boron carbon nitride films ) 是由 马修·斯科特·韦默 巴德里·N·瓦拉达拉简 于 2019-07-18 设计创作,主要内容包括:可使用远程等离子体化学气相沉积(CVD)技术沉积硼氮化物膜、硼碳化物膜、或硼碳氮化物膜。将含硼前体提供至反应室,其中含硼前体具有与氢原子键合的至少一个硼原子。例如氢自由基物质之类的自由基物质从远程等离子体源以基本上低能态或基态提供并且进入反应室。烃前体可随着含硼前体一起流动,且含氮等离子体物质可随着自由基物质一起从远程等离子体源导入反应室。含硼前体可随着烃前体和含氮前体中的一者或两者一起与自由基物质相互作用,以沉积硼氮化物膜、硼碳化物膜、或硼碳氮化物膜。(The boron nitride film, the boron carbide film, or the boron carbon nitride film may be deposited using a remote plasma Chemical Vapor Deposition (CVD) technique. A boron-containing precursor is provided to the reaction chamber, wherein the boron-containing precursor has at least one boron atom bonded to a hydrogen atom. Radical species, such as hydrogen radical species, are provided from a remote plasma source in a substantially low energy or ground state and enter the reaction chamber. The hydrocarbon precursor may flow with the boron-containing precursor and the nitrogen-containing plasma species may be introduced into the reaction chamber with the radical species from the remote plasma source. The boron-containing precursor may interact with the radical species along with one or both of the hydrocarbon precursor and the nitrogen-containing precursor to deposit a boron nitride film, a boron carbide film, or a boron carbon nitride film.)

1. A method of depositing a boron-containing film on a substrate, the method comprising:

providing a substrate in a reaction chamber;

flowing a boron-containing precursor into the reaction chamber toward the substrate, wherein the boron-containing precursor has one or more B-H bonds;

flowing a carbon-containing precursor into the reaction chamber with the boron-containing precursor;

generating hydrogen radicals from a hydrogen source gas in a remote plasma source, the hydrogen radicals being generated upstream of the boron-containing precursor and the carbon-containing precursor; and

introducing the hydrogen radicals into the reaction chamber and toward the substrate, wherein the hydrogen radicals are in a ground state to react with the boron-containing precursor and the carbon-containing precursor to form a boron-containing film on the substrate.

2. The method of claim 1, wherein all or substantially all hydrogen radicals in an environment adjacent to the substrate are hydrogen radicals in the ground state.

3. The method of claim 1, wherein the boron-containing precursor comprises a borane.

4. The method of claim 3, wherein the boron-containing precursor comprises diborane, triborane, tetraborane, pentaborane, hexaborane, or decaborane.

5. The method of claim 3, wherein the boron-containing precursor comprises a borane amine complex.

6. The method of claim 1, wherein the carbon-containing precursor is a hydrocarbon molecule having at least a carbon-carbon double or triple bond.

7. The method of claim 6, wherein the carbon-containing precursor comprises propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne.

8. The method of claim 1, wherein the boron-containing film has no or substantially no C-C bonds.

9. The method of any one of claims 1 to 8, further comprising:

providing a nitrogen-containing reactant with the hydrogen source gas in the remote plasma source, wherein radicals of the nitrogen-containing reactant are generated in the remote plasma source; and

introducing the radicals of the nitrogen-containing reactant into the reaction chamber along with the hydrogen radicals and toward the substrate, wherein the radicals of the nitrogen-containing reactant and the hydrogen radicals react with the boron-containing precursor and the carbon-containing precursor to form a Boron Carbon Nitride (BCN) film.

10. The method of claim 9, wherein the BCN membrane has no or substantially no C-C bonds, no or substantially no C-N bonds, and no or substantially no N-N bonds.

11. The method of claim 9, wherein the nitrogen-containing reactant comprises nitrogen (N)2) Or ammonia (NH)3)。

12. The method of any one of claims 1-8, wherein the boron-containing film has a conformality of at least 95%.

13. The method of any one of claims 1-8, wherein the boron-containing film has a young's modulus equal to or greater than about 130 GPa.

14. The method of any one of claims 1-8, wherein the boron-containing film has an effective dielectric constant equal to or less than about 4.0.

15. The method of any one of claims 1-8, wherein the boron-containing film has an intrinsic stress value between about-120 MPa and about 120 MPa.

16. The method of any one of claims 1 to 8, wherein the boron-containing precursor has one or more B-C and/or B-N bonds.

17. The method of any one of claims 1-8, wherein the boron-containing film has a concentration of boron atoms between about 30% and about 75%, and the boron-containing film has a concentration of carbon atoms between about 15% and about 45%.

18. A method of depositing a boron-containing film on a substrate, the method comprising:

providing a substrate in a reaction chamber;

flowing a boron-containing precursor into the reaction chamber toward the substrate, wherein the boron-containing precursor has one or more B-H bonds;

generating, in a remote plasma source, hydrogen radicals and radicals of a nitrogen-containing reactant from a source gas comprising hydrogen gas and the nitrogen-containing reactant, the hydrogen radicals and the radicals of the nitrogen-containing reactant being generated upstream of the boron-containing precursor; and

introducing the hydrogen radicals and radicals of the nitrogen-containing reactant into the reaction chamber and toward the substrate, wherein the hydrogen radicals are in a ground state to react with the boron-containing precursor to form a boron-containing film on the substrate.

19. The method of claim 18, further comprising: flowing a carbon-containing precursor into the reaction chamber with the boron-containing precursor, wherein the hydrogen radicals in the ground state react with the boron-containing precursor and the carbon-containing precursor to form the boron-containing film.

Background

Silicon carbide (SiC) based films possess physical, chemical, electrical, and mechanical properties that can be used in a variety of applications, particularly integrated circuit applications. Boron nitride (B)xNy) Boron carbide (B)xCy) And boron carbon nitride (B)xCyNz) Thin film-like films possess unique physical, chemical, electrical, and mechanical properties that can be used in a variety of applications, including integrated circuit applications, and in some cases even can replace SiC films.

The background description provided herein is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

Disclosure of Invention

The present invention provides a method for depositing a boron-containing film on a substrate. The method comprises the following steps: providing a substrate in a reaction chamber; flowing a boron-containing precursor into the reaction chamber toward the substrate; and flowing a carbon-containing precursor into the reaction chamber with the boron-containing precursor. The boron-containing precursor has one or more B-H bonds. The method further comprises the following steps: generating hydrogen radicals from a hydrogen source gas in a remote plasma source, the hydrogen radicals being generated upstream of the boron-containing precursor and the carbon-containing precursor; and introducing the hydrogen radicals into the reaction chamber and toward the substrate, wherein the hydrogen radicals are in a ground state to react with the boron-containing precursor and the carbon-containing precursor to form a boron-containing film on the substrate.

In some implementations, all or substantially all hydrogen radicals in the environment adjacent to the substrate are hydrogen radicals in the ground state. In some implementations, the boron-containing precursor includes a borane. The boron-containing precursor may include diborane, triborane, tetraborane, pentaborane, hexaborane, or decaborane. In some implementations, the carbon-containing precursor is a hydrocarbon molecule having at least a carbon-carbon double or triple bond. The carbon-containing precursor may include propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne. In some implementations, the boron-containing film has no or substantially no C-C bonds. In some implementations, the method further includes: providing a nitrogen-containing reactant with the hydrogen source gas in the remote plasma source, wherein radicals of the nitrogen-containing reactant are generated in the remote plasma source; and introducing the radicals of the nitrogen-containing reactant into the reaction chamber along with the hydrogen radicals and toward the substrate, wherein the radicals of the nitrogen-containing reactant and the hydrogen radicals react with the boron-containing precursor and the carbon-containing precursor to form a Boron Carbon Nitride (BCN) film. In some implementations, the boron-containing film has a conformality of at least 95%. In some implementations, the boron-containing film has a young's modulus equal to or greater than about 130 GPa. In some implementations, the boron-containing precursor has one or more B-C and/or B-N bonds. In some implementations, the boron-containing film has a concentration of boron atoms between about 30% and about 75%, and the boron-containing film has a concentration of carbon atoms between about 15% and about 45%.

Another aspect relates to a method of depositing a boron-containing film on a substrate. The method comprises the following steps: providing a substrate in a reaction chamber; flowing a boron-containing precursor into the reaction chamber toward the substrate; generating, in a remote plasma source, hydrogen radicals and radicals of a nitrogen-containing reactant from a source gas comprising hydrogen gas and the nitrogen-containing reactant, the hydrogen radicals and the radicals of the nitrogen-containing reactant being generated upstream of the boron-containing precursor; and directing the hydrogen radicals and radicals of the nitrogen-containing reactant into the reaction chamber and toward the substrate. The hydrogen radicals are in a ground state to react with the boron-containing precursor to form a boron-containing film on the substrate. The boron-containing precursor has one or more B-H bonds.

In some implementations, the method further includes: flowing a carbon-containing precursor into the reaction chamber with the boron-containing precursor, wherein the hydrogen radicals in the ground state react with the boron-containing precursor and the carbon-containing precursor to form the boron-containing film.

These and other embodiments are further described below with reference to the accompanying drawings.

Drawings

FIG. 1A shows a schematic cross-sectional view of an exemplary boron nitride, boron carbide, or boron carbon nitride film deposited on a substrate.

FIG. 1B shows a schematic cross-sectional view of an exemplary boron nitride, boron carbide, or boron carbon nitride film conformally deposited on a feature of a substrate.

Fig. 1C shows a cross-sectional schematic of an exemplary boronitride, borocarbide, or borocarbonitride vertical structure on the sidewalls of a gate electrode of a transistor.

Fig. 1D shows a schematic cross-sectional view of an exemplary boronitride, borocarbide, or borocarbonitride vertical structure on exposed sidewalls of copper lines in an air gap type metallization layer.

FIG. 1E shows a schematic cross-sectional view of an exemplary boronitride, borocarbide, or borocarbonitride pore sealant for a porous dielectric material.

Figure 2 shows an example of a chemical reaction between an activated hydrocarbon molecule from a carbon-containing precursor and an activated boron-containing precursor.

Fig. 3 illustrates a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source, according to some embodiments.

Fig. 4 shows a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source, according to some other embodiments.

Fig. 5 shows a graph of FTIR spectra of a boron carbonitride film using a boron containing precursor, a carbon containing precursor, and a remote hydrogen plasma.

Fig. 6 shows a graph of XPS data of B1 s, C1 s and N1 s of a boron carbon nitride film deposited on a substrate.

Figure 7 shows TEM images of a boron carbon nitride film deposited on a substrate feature using a boron containing precursor, a carbon containing precursor, and a remote hydrogen plasma with a carrier gas.

Detailed Description

In this disclosure, the terms "semiconductor wafer," "substrate," "wafer substrate," and "partially fabricated integrated circuit" are used interchangeably. It will be understood by those of ordinary skill in the art that the term "partially fabricated integrated circuit" may refer to a silicon wafer during any of a number of stages of integrated circuit fabrication. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm or 300 mm or 450 mm. The following detailed description assumes that the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The workpiece may be of various shapes, sizes and materials. In addition to semiconductor wafers, other workpieces that may take advantage of the present disclosure include various articles, such as printed circuit boards, and the like.

Introduction to the design reside in

The fabrication of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated manufacturing process. For example, silicon carbide-based films are desirable in semiconductor device fabrication because they have low dielectric constants. Silicon carbide-based films may also be desirable, inter alia, for their adhesion to other films, electromigration performance with copper, barrier properties, etch selectivity, low leakage current, high breakdown voltage, conformality, high chemical stability, and high thermal stability. However, the properties of silicon carbide films may not meet future needs or requirements of the microelectronics industry.

Boron-containing films, including Boron Nitride (BN), Boron Carbide (BC), and Boron Carbon Nitride (BCN) films, may have different properties than undoped or doped silicon carbide films or properties not present in undoped or doped silicon carbide films. For example, boron nitride, boron carbide, and boron carbon nitride films may be desirable in semiconductor device fabrication, inter alia, for their low dielectric constant, adhesion to other films, electromigration performance with copper, barrier properties, etch selectivity, low leakage current, high breakdown voltage, conformality, high chemical and thermal stability, among other attributes. As discussed in more detail below, such boron-containing films can be deposited to have unique physical, chemical, electrical, and mechanical properties that are superior to silicon carbide films. As used herein, a boron-containing film may mean a boron nitride film, a boron carbide film, or a boron carbon nitride film.

In some aspects of the fabrication process, the boron-containing film may typically be deposited using a Physical Vapor Deposition (PVD), Chemical Vapor Deposition (CVD), or Plasma Enhanced Chemical Vapor Deposition (PECVD) process. Precursor molecules for depositing boron-containing films include boron-containing molecules such as boranes, higher boranes, boron halides, aminoboranes, borazines (borazines), alkyl-substituted borazines, and the like. The precursor molecules may react with a carbon-containing reactant and/or a nitrogen-containing reactant. Depositing high quality boron-containing films can face a number of challenges, such as providing films with excellent step coverage and low dielectric constants.

Current PECVD processes may use in-situ plasma processing, wherein the plasma is provided directly adjacent to the substrate. Although the present disclosure is not limited by any particular theory, it is believed that the plasma conditions in a typical PECVD process cause boron-containing precursor molecules to form reactive precursor fragments with high sticking coefficients. The high sticking coefficient of the precursor molecules and fragments thereof can deposit boron-containing films with poor step coverage because the reactive precursor fragments can disproportionately stick to the sidewalls and the upper regions of other structures in the recessed features.

Furthermore, the direct plasma from current PECVD processes will grow carbon. Specifically, direct plasma conditions will produce high energy species that, in addition to B-C and B-N bonds, can also result in C-C, N-N, and/or C-N bonding in boron-containing films. This produces an alloy of borocarbides and/or boronitrides with carbon and/or carbonitrides. Such films have poor mechanical properties and high etch rates, which may be due to the segregation of carbon from boron carbides and/or boron nitrides.

Direct plasma conditions sometimes employed in PECVD can lead to directionality in deposition because the energy to decompose the precursor molecules can be low frequency, which creates a large amount of ion bombardment at the surface. Directional deposition may also result in the deposition of boron-containing films with poor step coverage and low in-feature sidewall film density. Direct plasma is where the plasma (electrons and positive ions at the appropriate concentration) resides near the substrate surface during deposition, sometimes separated from the substrate surface only by a plasma sheath.

Not only can PECVD conditions result in boron-containing films with poor step coverage and low in-feature sidewall film density, but PECVD conditions can result in boron-containing films with reduced film quality and disadvantageously high dielectric constants. Direct plasma conditions may result in increased boron-hydrogen bonding (B-H) in the deposited boron-containing film. Direct plasma conditions may result in increased carbon-carbon bonding (C-C), nitrogen-nitrogen bonding (N-N), and/or carbon-nitrogen bonding (C-N). The presence of these bonds may result in films with low step coverage, poor mechanical properties, and poor electrical properties, including films with disadvantageously high dielectric constants.

Environment at substrate surface during deposition

FIG. 1A illustrates a cross-sectional overview of an exemplary boron nitride, boron carbide, or boron carbon nitride film deposited over a substrate. The boron-containing film 101 in fig. 1A may be a boron nitride, boron carbide, or boron carbon nitride film. Boron-containing film 101 can be formed under process conditions that create a relatively mild environment adjacent substrate 100. Substrate 100 may be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process for depositing the boron-containing film 101 can involve one or more boron-containing precursors, each having one or more B-H bonds. At least one of the boron atoms is bonded to a hydrogen atom. In some implementations, each of the boron-containing precursors can have one or more B-B bonds. In some implementations, each of the boron-containing precursors has no B-C bonds nor B-N bonds. The chemical structure of exemplary boron-containing precursors is discussed in further detail below.

The boron-containing precursor comprises one or more B-H bonds and optionally one or more B-B bonds. However, it is to be understood that additional boron-containing precursors (e.g., boron trichloride) may not necessarily include a B-H bond or a B-B bond. These additional boron-containing precursors may also have boron-containing precursors having one or more B-H bonds. During the deposition process, the B-H bonds are selectively broken and serve as reactive sites for cross-linking or bond formation with other reactants. In other words, the reaction conditions adjacent to the substrate 100 provide for selective breaking of the B-H bonds such that hydrogen is extracted from the broken B-H bonds.

Typically, the reaction conditions described exist at the exposed face of substrate 100 (the face where boron-containing film 101 is deposited). They may also be present at a distance above the substrate 100, for example, from about 0.5 microns to about 150 millimeters above the substrate 100. Indeed, the activation of the precursor may occur in the gas phase at a substantial distance above the substrate 100. Typically, the relevant reaction conditions will be uniform or substantially uniform across the exposed surface of the substrate 100, although some variations may be permissible for some applications.

In addition to the boron-containing precursor, the environment proximate the workpiece (e.g., substrate 100) also includes one or more radical species, preferably in a substantially low energy state. Examples of such substances include hydrogen radicals (i.e., radicals of hydrogen atoms). In some embodiments of the present invention, the substrate is,all, or substantially all, or a substantial portion of the hydrogen atomic radicals may be in a ground state, e.g., at least about 90% or 95% of the hydrogen atomic radicals in the vicinity of the workpiece are in a ground state. In some embodiments, a source gas is introduced into the remote plasma source, where the source gas may be a hydrogen source gas. In some embodiments, the source gas is provided in a carrier gas (e.g., helium). As an example, hydrogen (H)2) The supply may be carried out with hydrogen in a helium carrier at a concentration of about 1-10%. The pressure, proportion of carrier gas (e.g., helium), and other process conditions are selected so that the hydrogen atoms collide with the substrate 100 as radicals in a low energy state that are not recombined.

As explained elsewhere, hydrogen gas may be supplied to the remote plasma source to generate hydrogen atomic radicals. A remote plasma source may be positioned upstream of the substrate 100 and the environment adjacent the substrate 100. Once generated, the hydrogen atom radicals can be in an excited energy state. For example, hydrogen in the excited energy state may have an energy of at least 10.2eV (first excited state). In some implementations, an excited hydrogen atomic radical can become a substantially low energy state hydrogen atomic radical or a ground state hydrogen atomic radical when the excited hydrogen atomic radical loses its energy or relaxes (relax). In some implementations, the deposition conditions can be designed such that excited hydrogen atomic radicals lose energy or relax to form substantially low energy or ground state hydrogen atomic radicals. For example, the remote plasma source or associated components may be designed such that the residence time of hydrogen atomic radicals diffusing from the remote plasma source to the substrate 100 is greater than the energy relaxation time (energetic relaxation time) of the excited hydrogen atomic radicals. The energy relaxation time of the excited hydrogen atom radicals may be about 1X 10 or less-3And second.

The state in which a substantial portion of the hydrogen atom radicals are in the ground state can be achieved by various techniques. Some devices are designed to achieve this state, as described below. Device features and process control features can be tested and adjusted to produce a mild state where a substantial portion of the hydrogen atom radicals are in the ground state. For example, the device may be operated and tested for charged particles downstream of the plasma source (i.e., near the substrate 100). The process and apparatus may be tuned until substantially no charged species are present near the substrate 100. In addition, the characteristics of the devices and processes can be adjusted to a configuration in which the devices and processes begin to produce boron-containing film 101 from a boron-containing precursor. Relatively mild conditions are selected to support such film deposition.

In addition to the boron-containing precursor, the environment adjacent to the workpiece (e.g., substrate 100) may also include one or more nitrogen-containing radical species (i.e., nitrogen-containing plasma species). The nitrogen-containing radical species may incorporate nitrogen into the boron-containing film 101 to form a boron nitride film or a boron carbon nitride film. In some implementations, the nitrogen-containing radical species can include elemental nitrogen radicals (atomic or diatomic) and/or N-H containing radicals such as ammonia radicals. Examples of N-H containing radicals include, but are not limited to, methylamine, dimethylamine, and aniline radicals.

The nitrogen-containing radical species and the hydrogen radical species may be generated by a remote plasma source. The remote plasma source may be positioned upstream of the substrate 100 and the environment adjacent to the substrate 100. Thus, the nitrogen-containing radical species may be introduced into the reaction chamber and toward the substrate 100 along the same flow path as the hydrogen radical species. A source gas may be introduced into the remote plasma source, wherein the source gas may include hydrogen, nitrogen, N-H containing species, or mixtures thereof. The radical species may be generated from a source gas. The hydrogen gas is at least partially converted into ions and/or radicals of hydrogen in the remote plasma source. Providing, for example, nitrogen (N) to a remote plasma source2) Or ammonia (NH)3) And the like, wherein the nitrogen-containing reactant is at least partially converted to ions and/or radicals of the nitrogen-containing reactant in the remote plasma source. This generates nitrogen-containing radicals in the remote plasma source. Both the nitrogen-containing radical species and the hydrogen radical species react with the boron-containing precursor to produce the deposited boron-containing film 101.

In addition to the boron-containing precursor, the environment adjacent to the workpiece (e.g., substrate 100) may include one or more carbon-containing precursors. The carbon-containing precursor can incorporate carbon into the boron-containing film 101 to form a boron carbide film or a boron carbon nitride film. Each carbon-containing precursor may be a hydrocarbon molecule having one or more carbon-carbon double or triple bonds. The carbon-containing precursor flows into the reaction chamber toward the substrate 100 along with the boron-containing precursor.

A carbon-containing precursor is introduced into the reaction chamber downstream of the remote plasma source. In other words, the hydrogen radical species and/or the nitrogen-containing radical species are generated upstream from the carbon-containing precursor and the boron-containing precursor. The carbon-containing precursor may be introduced into the reaction chamber via the same flow path as the boron-containing precursor. This means that the carbon-containing precursor and the boron-containing precursor can be introduced via a gas outlet or showerhead without direct exposure to the plasma.

In addition to the boron-containing precursor, the environment adjacent the workpiece (e.g., substrate 100) may also include an inert carrier gas or a diluent gas. Examples of inert carrier gases or diluent gases include, but are not limited to, helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and nitrogen (N)2). Upstream of the deposition reaction surface, the boron-containing precursor may be mixed with an inert carrier gas. In some implementations, hydrogen gas is provided with an inert carrier gas, helium. The inert carrier gas may have a mass greater than hydrogen. In some implementations, a gas mixture of helium, hydrogen, and nitrogen is provided in a remote plasma source.

The temperature in the environment adjacent to the substrate 100 can be any suitable temperature that promotes the deposition reaction, but is sometimes limited by the application of the apparatus comprising the boron-containing film 101. In some embodiments, during the deposition of the boron-containing film 101, the temperature in the environment adjacent to the substrate 100 may be controlled, in large part, by the temperature of the susceptor on which the substrate 100 is supported. In some embodiments, the operating temperature may be between about 50 ℃ and about 500 ℃. For example, the operating temperature may be between about 250 ℃ and about 400 ℃ in many integrated circuit applications. In some embodiments, increasing the temperature may result in increased crosslinking on the substrate surface.

The pressure in the environment adjacent to the substrate 100 may be any suitable pressure for generating reactive radicals in the reaction chamber. In some embodiments, the pressure may be about 35 torr or less. For example, as in embodiments that employ microwaves to generate the plasma, the pressure may be between about 10 torr and about 20 torr. In other examples, such as in embodiments that employ Radio Frequency (RF) to generate the plasma, the pressure may be less than about 5 torr, or between about 0.2 torr and about 5 torr.

An environment adjacent substrate 100 is provided for depositing boron-containing film 101 on substrate 100 by remote plasma CVD. Boron-containing precursor molecules may flow into the reaction chamber toward the substrate 100. A source gas is supplied to a remote plasma source upstream of the reaction chamber and power is supplied to the remote plasma source, which causes the source gas to dissociate and generate ions and radicals in excited energy states. After excitation, the radicals in the excited energy state relax to radicals in a substantially lower energy state or radicals in a ground state, e.g., hydrogen radicals in the ground state. In some embodiments, the source gas may include a nitrogen-containing reactant such that an excited nitrogen-containing plasma species may be generated in the remote plasma source. The radical species of the source gas may react with bonds in the boron-containing precursor molecules, wherein the boron-containing precursor molecules have at least one B-H bond. The reaction may occur in an environment adjacent to the substrate 100 to cause deposition of the boron-containing film 101, the boron-containing film 101 may be a boron nitride or boron carbon nitride film. In some embodiments, carbon-containing precursor molecules may flow into the reaction chamber with the boron-containing precursor molecules. The radical species of the source gas may react with bonds in the carbon-containing precursor molecules and the boron-containing precursor molecules. The reaction may occur in an environment adjacent to the substrate 100 to cause deposition of the boron-containing film 101, the boron-containing film 101 may be a boron carbide or boron carbonitride film.

In some embodiments, substantially all or a substantial portion of the atoms in the deposited film are provided by precursor molecules, including boron-containing precursor molecules and carbon-containing precursor molecules, as well as nitrogen-containing reactants. In this case, the low-energy radicals comprising ground-state hydrogen radicals used to drive the deposition reaction do not substantially contribute to the quality of the deposited layer. In some embodiments, there may potentially be some higher energy states of radicals or even ions near the wafer plane.

In some embodiments, the process conditions employ a radical species in a substantially low energy state sufficient to activate the carbon-containing precursor molecules and the boron-containing precursor molecules. The process conditions may not have a significant amount of ions, electrons, or radicals in a high energy state (e.g., a state above the ground state). In some embodiments, the ion concentration in the region adjacent to the membrane is no greater than about 107/cm3. The presence of large numbers of ions or energetic radicals may tend to produce films with undesirable electrical properties (e.g., high dielectric constant and/or low breakdown voltage), mechanical properties (e.g., low young's modulus and/or high intrinsic stress), and poor conformality.

Figure 2 shows an example of a chemical reaction between an activated hydrocarbon molecule from a carbon-containing precursor and an activated boron-containing precursor. Without being bound by any theory, hydrogen radicals in a substantially low energy or ground state may interact with an alkynyl or alkenyl group in a hydrocarbon molecule, which results in the formation of an activated hydrocarbon molecule. In addition, hydrogen radicals in a substantially low energy or ground state may interact with B-H bonds in the boron-containing precursor, which results in the formation of an activated boron-containing precursor. The hydrogen radicals activate double or triple bonds in the hydrocarbon molecule in a process known as "saturation" to produce a carbon-based radical species. In addition, hydrogen radicals may react with B-H bonds in the boron-containing precursor to break the B-H bonds and form boron-based radical species and hydrogen (H)2) By-products. In some cases, the boron-based radical species may react with double or triple bonds in the hydrocarbon molecule to form B-C bonds and deposit boron-containing films. In some cases, the carbon-based radical species react with the weak B-H bonds in the boron-containing precursor to form B-C bonds and hydrogen (H.) radicals, wherein the reaction results in the deposition of a boron-containing film.

In addition to or in lieu of the carbon-containing precursor, nitrogen-based plasma species from the nitrogen-containing reactant can participate in the deposition reaction to incorporate nitrogen into the boron-containing film. Examples of the nitrogen-based plasma species may include N-H containing radicals or nitrogen radicals. The nitrogen-based plasma species can break the B-H bonds to form B-N bonds and deposit a boron nitride film or a boron carbon nitride film.

In some embodiments, only the radical species, boron-containing precursor, and carbon-containing precursor contribute to the composition of the deposited boron-containing film. In other embodiments, the deposition reaction includes a co-reactant in addition to the precursors and radical species described above, which may or may not contribute to the composition of the boron-containing film. Examples of such CO-reactants include carbon dioxide (CO)2) Carbon monoxide (CO), water (H)2O), methanol (CH)3OH), oxygen (O)2) Ozone (O)3) Dinitrogen monoxide (N)2O) and combinations thereof. Such materials may be used as nitridizing agents, oxidizing agents, reducing agents, and the like. In some cases, it can be used to adjust the amount of carbon in the deposited film. In some cases, they can be used to adjust the amount of nitrogen or oxygen in the deposited film. In some embodiments, the co-reactant may be introduced with the boron-containing precursor, e.g., without direct exposure to a plasma. In some embodiments, the co-reactant may be introduced with the hydrogen radical species, for example, upon exposure to a plasma in a remote plasma source.

The boron-containing films may be used in semiconductor devices. For example, boron nitride films, boron carbide films, or boron carbon nitride films are particularly useful for applications such as metal diffusion barriers, etch stops, hard masks, gate spacers for source and drain implants, cladding barriers for Magnetoresistive Random Access Memory (MRAM) or Resistive Random Access Memory (RRAM), and hermetic diffusion barriers at air gaps. FIGS. 1B-1E illustrate cross-sections of structures containing boron-containing films in various applications. FIG. 1B illustrates a cross-sectional overview of an exemplary boron nitride, boron carbide, or boron carbon nitride film conformally deposited on features of a substrate. Fig. 1C illustrates a cross-sectional overview of an exemplary boronitride, borocarbide, or borocarbonitride vertical structure on a sidewall of a gate electrode of a transistor. Fig. 1D illustrates a cross-sectional overview of an exemplary boronitride, borocarbide, or borocarbonitride vertical structure on exposed sidewalls of copper lines in an air gap type metallization layer. FIG. 1E illustrates a schematic cross-sectional view of an exemplary boronitride, borocarbide, or borocarbonitride pore sealant for porous dielectric materials. Each of these applications will be discussed in more detail below.

Chemical structure of precursor

As discussed, at least some of the precursors employed in forming the boronitride, borocarbide, or borocarbonitride film may include boron-containing precursors having one or more B-H bonds. In some embodiments, the boron-containing precursor does not have a B-N bond nor a B-C bond. In other words, the boron-containing precursor does not have nitrogen or carbon built into the precursor when forming the carbide or nitride film. In some embodiments, the boron-containing precursor has one or more B-B bonds.

The boron-containing precursor may be of the formula B as a wholexHyA borane precursor of (a). In some embodiments, the borane precursor is Borane (BH)3). In some embodiments, the borane precursor is diborane (B)2H6). In some embodiments, the borane precursor is a higher borane, such as a triborane (B)3H7) Tetra-borane (B)4H10) Pentaborane (B)5H9) Hexaborane (B)6H10) And decaborane (B)10H14)。

Boranes can form stable complexes, such as borane amine complexes. For example, the borane amine complex may comprise dimethylamine borane complex ((CH)3)2NH:BH3). The borane amine complexes may generally have the formula NR3:BH3Wherein R can be H or any combination of alkyl, allyl, alkenyl, alkynyl, alkylaryl, arylalkyl, phenyl, alkene, and alkyne ligands.

In some implementations, the boron-containing precursor can be of the general formula BxHyNzBorazine (c). For example, the borazine precursor may have formula B3H6N3

Where the deposited boron-containing film is a borocarbide or borocarbonitride film, at least some of the precursors employed in the deposition reaction may include carbon-containing precursors. The carbon-containing precursor can be any suitable hydrocarbon molecule. In some embodiments, the hydrocarbon molecule includes a carbon chain of between 3 carbon atoms and 7 carbon atoms. In some embodiments, the hydrocarbon molecule may include one or more unsaturated carbon bonds, such as one or more carbon-carbon double or triple bonds. Thus, the hydrocarbon molecule may comprise an alkenyl or alkynyl group. Examples of suitable hydrocarbon molecules include propylene, ethylene, butylene, pentene, butadiene, pentadienes (e.g., 1, 4-pentadiene), hexadienes, heptadienes, toluene, and benzene. Other examples of suitable hydrocarbon molecules include acetylene, propyne, butyne, pentyne (e.g., 1-pentyne), and hexyne (e.g., 2-hexyne).

In some embodiments, the carbon-containing precursor may be a deposition additive. Regardless of the temperature, the deposition additive may form a species with the boron-containing precursor even for temperatures greater than about 50 ℃ or greater than about 25 ℃. The carbon-containing precursor does not act as a passive bystander, but rather can significantly contribute to the composition of the boron-containing film. The carbon-containing precursor and the by-product of the reaction with hydrogen radicals in a substantially low energy or ground state may be incorporated in substantial amounts into the boron-containing film. As used herein, "substantial" with respect to the incorporation of carbon from a carbon-containing precursor into a boron-containing film may mean a change in the concentration of carbon atoms equal to or greater than about 5% as compared to the deposition of a boron-containing film without a carbon-containing precursor.

Device for measuring the position of a moving object

One aspect of the invention is an apparatus configured to implement the methods described herein. Suitable apparatus include hardware for implementing process operations and a system controller having instructions for controlling process operations in accordance with the present invention. In some embodiments, the means for performing the aforementioned process operations may comprise a remote plasma source. The remote plasma source provides mild reaction conditions compared to direct plasma. An example of a suitable remote plasma device is described in U.S. patent application No.14/062,648 filed on 24/10/2013, which is incorporated by reference herein in its entirety for all purposes.

Fig. 3 illustrates a schematic diagram of a remote plasma apparatus according to some embodiments. The apparatus 300 includes a reaction chamber 310 having a showerhead 320. Inside the reaction chamber 310, the substrate 330 rests on a pedestal or base 335. In some embodiments, the base 335 may be equipped with a heating/cooling element. A controller 340 may be connected to the components of the device 300 to control the operation of the device 300. For example, the controller 340 may contain instructions for controlling process conditions of operation of the apparatus 300, such as temperature process conditions and/or pressure process conditions. In some implementations, the controller 340 may include instructions for controlling the flow rates of the precursor gas, the co-reactant gas, the source gas, and the carrier gas. Controller 340 may contain instructions for varying the flow rate of the co-reactant gas over time. Additionally or alternatively, the controller 340 may include instructions for varying the flow rate of the precursor gas over time. A more detailed description of the controller 340 is provided below.

During operation, a gas or gas mixture is introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to the reaction chamber 310. A first gas inlet 355 may be coupled to the reaction chamber 310 and connected to the vessel 350, and a second gas inlet 365 may be coupled to the reaction chamber 310 and connected to the remote plasma source 360. In embodiments including a remote plasma configuration, the delivery lines for the precursors and radical species generated in the remote plasma source are separate. Thus, the precursor and radical species do not substantially interact before reaching the substrate 330. It should be understood that in some embodiments, the gas lines may be reversed such that the vessel 350 may provide a flow of precursor gases via the second gas inlet 365, while the remote plasma source 360 may provide ions and radicals via the first gas inlet 355.

One or more radical species may be generated in the remote plasma source 360 and configured to enter the reaction chamber 310 via the second gas inlet 365. Any type of plasma source may be used in the remote plasma source 360 to create radical species. This includes, but is not limited to, capacitively coupled plasma, inductively coupled plasma, microwave plasma, direct current plasma, and laser generated plasma. An example of a capacitively coupled plasma may be radio frequency (R)F) Plasma is generated. The high frequency plasma may be configured to operate at 13.56MHz or higher. An example of such a remote plasma source 360 may be manufactured by Lam Research Corporation (Fremont, California)Another example of such an RF remote plasma source 360 may be manufactured by MKS Instruments of Wilmington, MassWhich can operate at 440kHz and can be provided as a subunit bolted to a larger apparatus for parallel processing of one or more substrates. In some embodiments, microwave plasma may be used as the remote plasma source 360, e.g.It is also manufactured by MKS Instruments. The microwave plasma may be configured to operate at a frequency of 2.45 GHz. The gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein. In some embodiments, the hydrogen is provided in a carrier (e.g., helium). As one example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen.

The precursor may be provided in a container 350 and may be supplied to the showerhead 320 via a first gas inlet 355. The showerhead 320 dispenses the precursor to the reaction chamber 310 toward the substrate 330. A substrate 330 may be positioned below the showerhead 320. It should be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gases to the substrates 330. The precursor may be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.

One or more radical species formed at the remote plasma source 360 may be transported in a gas phase toward the substrate 330. One or more radical species may flow into the reaction chamber 310 through the second gas inlet 365. It should be understood that the second gas inlet 365 is shown in FIG. 3Not necessarily transverse to the surface of substrate 330. In some embodiments, the second gas inlet 365 may be directly above the substrate 330 or at other locations. The distance between the remote plasma source 360 and the reaction chamber 310 may be configured to provide mild reaction conditions such that the ionized species generated at the remote plasma source 360 are substantially neutral, but at least some of the radical species in a substantially low energy state remain in the environment adjacent the substrate 330. Such low energy state free radical species no longer recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 may be the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the gas density in the plasma (e.g., if there is a high concentration of hydrogen atoms, then a substantial portion of them may recombine to form H before reaching the reaction chamber 3102) And other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 may be between about 1cm to 30cm, such as about 5cm or about 15 cm.

In some embodiments, carbon-containing precursors that are not the predominant boron-containing precursor or hydrogen radical are introduced during the deposition reaction. In some embodiments, the apparatus is configured to introduce the nitrogen-containing plasma species through the second gas inlet 365, in which case the nitrogen-containing reactant is at least partially converted to a plasma. In some implementations, the apparatus is configured to introduce the carbon-containing precursor through the showerhead 320 via the first gas inlet 355.

Fig. 4 shows a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source, according to some other embodiments. The plasma processing apparatus 400 includes a remote plasma source 402 that is separate from a reaction chamber 404. Remote plasma source 402 is fluidly coupled to reaction chamber 404 via multi-port gas distributor 406, which multi-port gas distributor 406 may also be referred to as a showerhead. Radical species are generated in the remote plasma source 402 and supplied to the reaction chamber 404. One or more boron-containing precursors are supplied to a remote plasma source 402 and a reaction chamber 404 downstream of a multi-port gas distributor 406. The one or more boron-containing precursors react with the radical species in the chemical vapor deposition region 408 of the reaction chamber 404 to deposit a boron-containing film on the surface of the substrate 412. The chemical vapor deposition region 408 comprises an environment adjacent to a surface of the substrate 412.

The substrate 412 is supported on a substrate support or susceptor 414. The pedestal 414 is movable within the reaction chamber 404 to position the substrate 412 within the chemical vapor deposition region 408. In the embodiment shown in fig. 4, pedestal 414 shows substrate 412 having been raised within chemical vapor deposition zone 408. In some embodiments, the pedestal 414 may also adjust the temperature of the substrate 412, and the pedestal 414 may provide some selective control over thermally activated surface reactions on the substrate 412.

Fig. 4 shows the coil 418 disposed around the remote plasma source 402, where the remote plasma source 402 includes an outer wall (e.g., a quartz dome). The coil 418 is electrically coupled to a plasma generator controller 422, which may be used to form and maintain a plasma within the plasma region 424 by inductively coupled plasma generation. In some implementations, the plasma generator controller 422 can include a power supply that supplies power to the coil 418, where the power can range between about 1 to 6 kilowatts (kW) during plasma generation. In some embodiments, electrodes or antennas for parallel plate or capacitively coupled plasma generation may be used to generate a continuous supply of radicals by plasma excitation, rather than using inductively coupled plasma generation. Regardless of the mechanism for igniting and sustaining the plasma within the plasma region 424, radical species may be continuously generated during film deposition using plasma excitation. In some embodiments, hydrogen radicals are generated during steady state film deposition at approximately steady state conditions, but transients may occur at the beginning and end of film deposition.

When hydrogen gas or other source gas is supplied to the remote plasma source 402, a supply of hydrogen radicals may be continuously generated within the plasma region 424. The excited hydrogen radicals may be generated in the remote plasma source 402. Excited hydrogen radicals lose their energy or relax if not re-excited or re-energized or recombined with other radicals. Thus, the excited hydrogen radicals may relax to form hydrogen radicals in a substantially low energy or ground state.

The hydrogen or other source gas may be diluted with one or more additional gases. The one or more additional gases may be supplied to the remote plasma source 402. In some embodiments, hydrogen or other source gas is mixed with one or more additional gases to form a gas mixture, where the one or more additional gases may include a carrier gas. Non-limiting examples of the additional gas may include helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and nitrogen (N)2). The one or more additional gases may support or stabilize a steady state plasma condition within the remote plasma source 402, or facilitate an ignition or extinguishing procedure of a transient plasma. In some embodiments, diluting the hydrogen or other source gas with, for example, helium, may facilitate a higher total pressure without concomitant plasma breakdown. In other words, a diluent gas mixture of hydrogen and helium may facilitate a higher total gas pressure without increasing the plasma power supplied to the remote plasma source 402. As shown in fig. 4, a source gas supply 426 is fluidly coupled to the remote plasma source 402 to supply hydrogen or a source gas. In addition, an additional gas supply 428 is fluidly coupled to the remote plasma source 402 to supply one or more additional gases. The one or more additional gases may also comprise the co-reactant gases described above. While the embodiment of fig. 4 depicts the gas mixture of the source gas and the one or more additional gases being introduced via separate gas outlets, it is understood that the gas mixture may be introduced directly into the remote plasma source 402. That is, the premixed dilution gas mixture may be supplied to the remote plasma source 402 via a single gas outlet.

Gases (e.g., excited hydrogen and helium radicals and relaxed gases/radicals) flow from the remote plasma source 402 and into the reaction chamber 404 via the multiport gas distributor 406. The gas within multiport gas distributor 406 and within reaction chamber 404 is generally not subjected to continuous plasma excitation therein. In some embodiments, multiport gas distributor 406 comprises an ion filter and/or a photon filter. Filtering the ions and/or photons may reduce damage to the substrate, undesired molecular re-excitation, and/or selective cracking or decomposition of boron-containing precursors within the reaction chamber 404. Multiport gas distributor 406 may have a plurality of gas ports 434 to diffuse the gas stream into reaction chamber 404. In some embodiments, the plurality of gas ports 434 may be spaced apart from one another. In some embodiments, the plurality of gas ports 434 may be arranged in an array of regularly spaced channels or through holes extending through a plate separating the remote plasma source 402 from the reaction chamber 404. The plurality of gas ports 434 may smoothly disperse and diffuse the radicals exiting from the remote plasma source 402 into the reaction chamber 404.

A typical remote plasma source is remotely located from the reaction vessel. Thus, radical destruction and recombination (e.g., through a wall collision event) can result in a substantial reduction in active species. In contrast, in some embodiments, the size of the plurality of gas ports 434 may be configured based on the mean free path or gas flow residence time under typical processing conditions to assist free radical entry into the reaction chamber 404. In some embodiments, the openings of plurality of gas ports 434 may occupy between about 5% and about 20% of the exposed surface area of multi-port gas distributor 406. In some embodiments, the plurality of gas ports 434 may each have an axial length to diameter ratio of between about 3:1 and 10:1, or between about 6:1 and 8: 1. Such aspect ratios may allow for a reduction in the wall collision frequency of radical species passing through the plurality of gas ports 434 while providing sufficient time for most excited state radical species to relax to ground state radical species. In some embodiments, the plurality of gas ports 434 may be sized such that the residence time of the gas through multi-port gas distributor 406 is greater than the typical energy relaxation time of excited radical species. Excited radical species of the hydrogen source gas can be represented by.H in FIG. 4*And the ground state radical species of the hydrogen source gas can be represented by H in fig. 4.

In some embodiments, excited radical species exiting the plurality of gas ports 434 may flow into the relaxation region 438, the relaxation region 438 being contained within the interior of the reaction chamber 404. The relaxation zone 438 is located upstream of the chemical vapor deposition zone 408, but downstream of the multi-port gas distributor 406. Substantially all or at least 90% of the excited radical species exiting multiport gas distributor 406 will be converted to relaxed radical species in relaxed region 438. In other words, substantially all excited radical species (e.g., excited hydrogen radicals) entering the relaxation region 438 become de-excited, or are converted to relaxed radical species (e.g., ground state hydrogen radicals), before exiting the relaxation region 438. In some embodiments, the process conditions or geometry of the relaxation region 438 may be configured such that the residence time (e.g., the time determined by the mean free path and the mean molecular velocity) of the radical species flowing through the relaxation region 438 causes the relaxed radical species to flow out of the relaxation region 438.

As the radical species are delivered from the multi-port gas distributor 406 to the relaxation region 438, one or more boron-containing precursors and/or one or more carbon-containing precursors may be introduced into the chemical vapor deposition region 408. One or more boron-containing precursors may be introduced through a gas distributor or gas outlet 442, wherein the gas outlet 442 may be fluidly coupled to a precursor supply 440. Relaxation region 438 may be contained within the space between multi-port gas distributor 406 and gas outlet 442. The gas outlets 442 may comprise openings that are spaced apart from one another such that the flow of one or more boron-containing precursors may be introduced in a direction parallel to the gas mixture flowing from the relaxation region 438. Gas outlets 442 may be located downstream of multi-port gas distributor 406 and relaxation region 438. The gas outlet 442 may be located upstream of the chemical vapor deposition zone 408 and the substrate 412. The chemical vapor deposition zone 408 is located in the interior of the reaction chamber 404 and between the gas outlet 442 and the substrate 412.

Substantially all of the one or more boron-containing precursor streams may be prevented from mixing with excited free radical species adjacent to multi-port gas distributor 406. The relaxed or ground state radical species are mixed with one or more boron-containing precursors in a region adjacent to the substrate 412. The chemical vapor deposition region 408 comprises a region adjacent the substrate 412 in which relaxed or ground-state radical species are mixed with one or more boron-containing precursors. During CVD formation of boron-containing films, a relaxed or ground-state radical species is mixed in a gas phase with one or more boron-containing precursors.

In some embodiments, a carbon-containing precursor may be introduced from gas outlet 442 and flowed with one or more boron-containing precursors. The carbon-containing precursor may be introduced downstream of the remote plasma source 402. The carbon-containing precursor may be supplied from a precursor supply 440 or other source (not shown) fluidly coupled to the gas outlet 442. The carbon-containing precursor can be a hydrocarbon molecule having one or more carbon-carbon double or triple bonds. In some embodiments, nitrogen-containing plasma species may be introduced from the multi-port gas distributor 406 and flow with the hydrogen radical species generated in the remote plasma source 402 and into the reaction chamber 404. This may include radicals and/or ions of the nitrogen-containing reactant provided in the remote plasma source 402. The nitrogen-containing reactant or any other co-reactant may be supplied from an additional gas supply 428.

The gas outlet 442 may be separated from the multi-port gas distributor 406 by a sufficient distance to prevent back diffusion or reverse flow of the one or more boron-containing precursors. In some embodiments, the gas outlets 442 may be separated from the plurality of gas ports 434 by a distance as follows: a distance of between about 0.5 inches and about 5 inches, or between about 1.5 inches and about 4.5 inches, or between about 1.5 inches and about 3 inches.

The process gas may be removed from the reaction chamber 404 via an outlet 448, the outlet 448 being configured to be fluidly coupled to a pump (not shown). Thus, excess boron-containing precursor, carbon-containing precursor, radical species, and dilution and displacement or purge gases may be removed from reaction chamber 404. In some embodiments, the system controller 450 is in operative communication with the plasma processing apparatus 400. In some implementations, the system controller 450 includes a processor system 452 (e.g., a microprocessor) configured to execute instructions stored in a data system 454 (e.g., a memory). In some embodiments, the system controller 450 may communicate with the plasma generator controller 422 to control plasma parameters and/or conditions. In some embodiments, the system controller 450 may communicate with the pedestal 414 to control pedestal height and temperature. In some embodiments, the system controller 450 may control other process conditions, such as RF power settings, frequency settings, duty cycle, pulse times, pressure within the reaction chamber 404, pressure within the remote plasma source 402, gas flow rates from the source gas supply 426 and the additional gas supply 428, gas flow rates from the precursor supply 440 and other sources, temperature of the pedestal 414, and temperature of the reaction chamber 404, among others.

The aspects of controller 450 of fig. 4 described below also apply to controller 340 of fig. 3. The controller 450 may include instructions for controlling the process conditions of the operation of the plasma processing device 400. The controller 450 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller board, etc. The instructions for implementing the appropriate control operations are executed on the processor. These instructions may be stored in a memory device associated with controller 450, or they may be provided over a network.

In some embodiments, the controller 450 controls all or most of the activities of the plasma processing apparatus 400 described herein. For example, the controller 450 may control all or most of the activities of the plasma processing apparatus 400 associated with depositing boron-containing films and optionally other operations in a manufacturing flow that includes boron-containing films. The controller 450 may execute system control software that includes a set of instructions for controlling timing, gas composition, gas flow rate, chamber pressure, chamber temperature, RF power level, substrate position, and/or other parameters. Other computer programs, scripts, or programs stored on a memory device associated with controller 450 may be employed in some embodiments. In order to provide relatively mild reaction conditions in the environment adjacent to the substrate 412, parameters such as RF power levels, gas flow rates to the plasma region 424, gas flow rates to the chemical vapor deposition region 408, and timing of plasma ignition may be adjusted and maintained by the controller 450. Additionally, adjusting the substrate position may further reduce the presence of energetic radical species in the environment adjacent to the substrate 412. In a multi-station reactor, the controller 450 may include different or the same instructions for different plant stations, thereby enabling the plant stations to operate independently or simultaneously.

In some implementations, the controller 450 may include instructions for performing operations such as: flowing one or more boron-containing precursors into reaction chamber 404 via gas outlet 442, providing a source gas into remote plasma source 402, generating one or more radical species of the source gas in remote plasma source 402, introducing the one or more radical species in a substantially low energy state from remote plasma source 402 into reaction chamber 404 to react with the one or more boron-containing precursors to deposit a boron-containing film on substrate 412. The one or more radical species in the environment adjacent to substrate 412 in reaction chamber 404 may be hydrogen radicals in a ground state. In some embodiments, the controller 450 may include instructions to flow a carbon-containing precursor with one or more boron-containing precursors into the reaction chamber 404. In some embodiments, the source gas may include a nitrogen-containing reactant such as nitrogen or ammonia.

In some embodiments, the apparatus 400 may include a user interface associated with the controller 450. The user interface may include a display screen, a graphical software display of the apparatus 400 and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, and the like.

The computer program code for controlling the above operations may be written in any conventional computer readable programming language: such as assembly language, C, C + +, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program.

The signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals used to control the process are the outputs on the analog and digital output connections of the processing system.

In general, the methods described herein can be performed on a system that includes semiconductor processing equipment, such as one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of semiconductor wafers or substrates. In general, an electronic device may be referred to as a "controller," which may control various elements or subcomponents of one or more systems. Depending on the processing requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out of tools and other transfer tools, and/or load locks connected or interfaced with specific systems.

Broadly speaking, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and so forth. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers executing program instructions (e.g., software). The program instructions may be in the form of various separate settings (or program files) that communicate with the controller, define operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameter may be part of a recipe (recipe) defined by a process engineer for completing one or more processing steps during preparation of one or more layer(s), material (e.g., boron nitride, boron carbide, or boron carbon nitride), surface, circuit, and/or die of a wafer.

In some embodiments, the controller may be part of or coupled to a computer that is integrated with, coupled to, or connected to the system via a network, or a combination of these. For example, the controller may be in the "cloud" or be all or part of a fab (fab) host system, which may allow remote access to the wafer process. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set processing steps to follow the current process or start a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system over a network, which may include a local network or the internet. The remote computer may include a user interface that allows parameters and/or settings to be entered or programmed, which are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, a controller may be distributed, for example, by including one or more discrete controllers that are connected together by a network and work toward a common goal (e.g., the processes and controls described herein). An example of a distributed controller for these purposes may be one or more integrated circuits within a chamber that communicate with one or more remote integrated circuits (e.g., at the platform level or as part of a remote computer) that combine to control processes within the chamber.

In addition to the boron-containing film deposition described herein, example systems can also include plasma etch chambers or modules, deposition chambers or modules, spin clean chambers or modules, metal plating chambers or modules, clean chambers or modules, bevel edge etch chambers or modules, Physical Vapor Deposition (PVD) chambers or modules, Chemical Vapor Deposition (CVD) chambers or modules, Atomic Layer Deposition (ALD) chambers or modules, Atomic Layer Etch (ALE) chambers or modules, ion implantation chambers or modules, rail chambers or modules, and any other semiconductor processing system that may be associated with or used in the preparation and/or fabrication of semiconductor wafers.

As described above, depending on the process step or steps to be performed by the tool, the controller may communicate with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, tools located throughout the factory, a host computer, another controller, or tools used in the handling of containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing facility.

The apparatus/processes described above may be used in conjunction with lithographic patterning tools or processes, for example, for the preparation or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, although not necessarily, these tools/processes will be used or operated together in a common manufacturing facility. Photolithographic patterning of films typically involves some or all of the following operations, each enabling multiple viable tools: (1) applying a photoresist on a workpiece, i.e., a substrate, using a spin-coating or spray-coating tool; (2) curing the photoresist using a hot plate or oven or a UV curing tool; (3) exposing the photoresist to visible or ultraviolet light or an x-ray lamp using a tool such as a wafer stepper; (4) developing the resist to selectively remove the resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern to an underlying film or workpiece by using a dry or plasma assisted etch tool; and (6) removing the resist using a tool such as a radio frequency or microwave plasma resist stripper.

Structure, composition and properties of deposited films

Many boron-containing films, including boron nitride, boron carbide, and boron carbon nitride films, are deposited using PECVD or thermal CVD processes. However, the properties of these films may not have the desired properties of dielectric constant, step coverage, etch selectivity, chemical stability, and thermal stability, among others. For example, PECVD processes in depositing boron nitride or boron carbon nitride films can result in films with high NH content. High NH content may adversely affect the mechanical properties of the film, such as the hardness and young's modulus of the film and the etch sensitivity. Moreover, the PECVD process in depositing a boron carbide or boron carbon nitride film may result in a film having a large number of C-C bonds, C-N bonds, or N-N bonds. The large number of C-C, C-N, or N-N bonds may result in inconsistent performance within the membrane, as the membrane may not have a regular chemical structure. For example, regions of the membrane with more B-C and B-N bonds may behave differently than regions of the membrane with more C-C, C-N, or N-N bonds. More B-C and B-N bonds may provide higher Young's modulus and different chemical reactivity (e.g., etch rate). The present disclosure relates to depositing boron-containing films using remote plasma CVD. The boron-containing film may have no or substantially no C-C bonds. Additionally, in some embodiments, the boron-containing film may have no or substantially no C-N bonds, and no or substantially no N-N bonds.

Fig. 5 shows a graph of FTIR spectra of a boron carbonitride film for remote plasma CVD using a boron containing precursor, a carbon containing precursor, and a remote hydrogen plasma. The boron-containing precursor comprises a borane precursor, and the carbon-containing precursor comprises a hydrocarbon molecule having one or more carbon-carbon double or triple bonds. The remote hydrogen plasma includes a source gas of a nitrogen-containing reactant and hydrogen gas (H)2) To generate hydrogen and nitrogen radicals. As shown in the FTIR spectrum in FIG. 5, B-H bonds, B-N bonds, and B-C bonds are present in the boron carbon nitride film. However, C-C, C-N and N-N bonds are not present in the boron carbon nitride film. This is confirmed by X-ray photoelectron spectroscopy (XPS) data in fig. 6. Fig. 6 shows a graph of XPS data for B1 s, C1 s and N1 s for boron carbon nitride films. XPS data for B1 s showed a peak at the binding energy indicating the B-C bond. XPS data for C1 s shows a peak at the binding energy indicating a B-C bond but not a C-C bond, a C-N bond, or a C-O bond. XPS data for N1 s shows peaks indicating B-N bonds but not N-C bonds or N-O bonds.

Table 1 shows various properties of boron carbon nitride films produced by remote plasma CVD processes that result in no-bakeThe same boron carbon nitride film composition. The boron atom concentration of each boron carbon nitride film is greater than 50%. The film density of each boron-carbon nitride film is more than 1.60g/cm3While the hydrogen-rich remote plasma CVD process produces more than 1.70g/cm3The dense membrane of (2). Each boron carbon nitride film has a Young's modulus of greater than 130GPa, while the hydrogen-rich remote plasma CVD process produces films having a Young's modulus of greater than 150 GPa. Each boro-carbonitride film has a relatively low intrinsic stress value, without too much compressive stress, nor too much tensile stress. Specifically, the boron carbon nitride film has an inherent stress value of-120 MPa to 120 MPa.

TABLE 1

The process conditions of the present disclosure can provide boron-containing films having desirable mechanical properties. Boron-containing films may have sufficiently high values of young's modulus without having too high values of compressive or tensile intrinsic stress. In some embodiments, the boron-containing film may have a composition with one or more B-C and/or B-N bonds. The boron-containing film may have no or substantially no C-C bonds, C-N bonds, and N-N bonds. The C-C, C-N or N-N bond can have an adverse effect on the Young's modulus of the boron-containing film. In some embodiments, the percentage of C-C bonds, C-N bonds, and N-N bonds in the boron-containing film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%. In some embodiments, the boron-containing film has a Young's modulus value of equal to or greater than about 130GPa, or equal to or greater than about 150 GPa. In some embodiments, the boron-containing film has an intrinsic stress value between about-120 MPa and about 120MPa, or between about-75 MPa and about 75 MPa.

Figure 7 shows a TEM image of a boron carbon nitride film deposited on a substrate feature using a boron containing precursor, a carbon containing precursor, and a remote hydrogen plasma with a carrier gas. The boron-containing precursor is a borane precursor and the carbon-containing precursor is a hydrocarbon molecule having one or more carbon-carbon double or triple bonds provided downstream of the remote plasma. The remote hydrogen plasma includes hydrogen radicals and nitrogen radicals. The carrier gas flows together with the source gas. In some embodiments, no carrier gas flows with the source gas. The boron carbon nitride film deposited on the substrate feature has a step coverage of at least 95% wherein the substrate feature has an aspect ratio of height to depth of 7: 1.

Boron nitride, boron carbide, and boron carbon nitride films may have unique etch properties and/or selectivity. Boron nitride, boron carbide, and boron carbon nitride films may be able to etch under certain etch chemistries while being etch resistant under other etch chemistries. In some embodiments, boron nitride, boron carbide, and boron carbon nitride films may have different etching properties depending on whether the film has oxidized.

The deposited film will comprise boron and, in some cases, nitrogen, carbon and/or one or more other elements. In some embodiments, the atomic concentration of boron is between about 30% to about 75%, or between about 35% to about 70%. In some embodiments, the atomic concentration of carbon is between about 10% to about 50%, or between about 15% to about 45%. In some embodiments, the atomic concentration of nitrogen is between about 3% to about 25%, or between about 5% to about 20%. In all cases, the membrane may contain some hydrogen. However, it will be appreciated that the relative atomic concentration of hydrogen will be small. In some embodiments, the atomic concentration of hydrogen is less than about 25%, between about 2% to about 20%, or between about 5% to about 15%. In one example, the borocarbonitride film contains about 50-60% boron, about 15-25% carbon, about 5-10% nitrogen, and about 5-15% hydrogen. It is understood that the relative atomic concentrations may vary depending on the choice of precursor.

The boron atom will form a bond with the carbon and/or nitrogen atom. A carbon atom will not form a bond with other carbon atoms or nitrogen atoms, and a nitrogen atom will not form a bond with other nitrogen atoms or carbon atoms. In some embodiments, the deposited film contains more B-C bonds than B-N bonds. This can provide a film having a low dielectric constant. This may also provide a film with a high young's modulus. In some examples, the deposited film contains between about 1:1To a ratio of B-C bonds to B-N bonds of 3: 1. In certain embodiments, the film density is between about 1.5 and 2.5g/cm3In the meantime.

The process conditions described earlier herein may provide a highly conformal film structure. The relatively mild process conditions minimize the degree of ion bombardment of the substrate surface, resulting in a lack of directionality in the deposition. In addition, relatively mild process conditions may reduce the number of radicals with high sticking coefficients that will have a tendency to adhere to the sidewalls of previously deposited layers or films. Conformality can be calculated by comparing the average thickness of the deposited film on the bottom, sidewalls, or top of the feature to the average thickness of the deposited film on the bottom, sidewalls, or top of the feature. For example, conformality can be calculated by dividing the average thickness of the deposited film on the sidewalls by the average thickness of the deposited film at the top of the feature and multiplying it by 100 to obtain a percentage. As used herein, "feature" may mean a non-planar structure on a substrate, typically a surface modified in a semiconductor device manufacturing operation. Examples of features include trenches, vias, liners, pillars, domes, and the like. Features typically have a certain aspect ratio (depth or height to width). In certain embodiments, for features having an aspect ratio of about 2:1 or greater, the boron-containing film can be deposited with a conformality of between about 50% to 100%, more typically between about 80% to 100%, and even more typically between about 90% to 100%. For example, a boron carbon nitride film on a feature between about 5:1 to about 10:1 can have a conformality of at least 95%.

The process conditions may also provide a film structure with a low dielectric constant. The boron-containing film may be formed from a majority of B-C bonds and/or B-N bonds with a limited or no number of C-C bonds, C-N bonds, or N-N bonds. This may provide improved electrical and mechanical properties while maintaining a relatively low dielectric constant. In various embodiments, the boron-containing film has an effective dielectric constant of about 5.0 or less, about 4.0 or less, about 3.5 or less, or about 3.0 or less. In some embodiments, the boron-containing film has an effective dielectric constant between about 2.0 and about 5.0.

Applications of

The present disclosure may be further understood by reference to the following application for high quality boron-containing films, which application is meant to be purely exemplary. The invention is not limited in scope by the specific application, which is merely a brief description of aspects of the disclosure.

In some implementations, a boron-containing film can be deposited over the exposed copper. In some embodiments of depositing boron-containing films, the reaction conditions adjacent the substrate may be free of oxidizing agent, e.g., free of O2、O3And CO2Including the absence of its free radical. Thus, the boron-containing film can be deposited directly over the exposed copper without oxidizing the copper (e.g., resulting in copper oxide). Such boron-containing films may be used as etch stop layers, which may also serve as copper diffusion barriers. The presence of the boron-containing film can provide a sufficiently low dielectric constant, as well as excellent leakage properties, to serve as a diffusion barrier. In some embodiments, a boron-containing film may be placed between adjacent metallization layers that are typically produced by a damascene process. The boron-containing film is etch resistant and can be sufficiently dense to minimize diffusion of copper ions into adjacent regions of the dielectric material. The boron-containing film may be used as a capping material to encapsulate copper and may have excellent adhesion to copper or copper alloy surfaces.

In some embodiments as shown in fig. 1B, boron-containing film 111 can be conformally deposited over features 112 of substrate 110. The features 112 may be isolated or dense features, wherein the features 112 may have a relatively small Critical Dimension (CD). In some embodiments, a feature may have a CD equal to or less than about 20nm, equal to or less than about 10nm, or equal to or less than about 5 nm. The aspect ratio of the height to the width of the feature 112 may be greater than 2:1, greater than 5:1, greater than 10:1, or greater than 20: 1. The step coverage of the boron-containing film 111 deposited on the feature 112 is at least 80%, at least 85%, at least 90%, or at least 95%.

In some embodiments, the boron-containing film may be deposited as a vertical structure adjacent to a metal or semiconductor structure. The deposition of boron nitride, boron carbide, or boron carbon nitride provides excellent step coverage along the sidewalls of the metal or semiconductor structure to produce a vertical structure. In certain embodiments, the vertical structures may be referred to as spacers or liners. Boronitride, borocarbide, and borocarbonitride vertical structures can be used as ashable conformal hard masks with high modulus and low dielectric constants. Thus, boron-containing films can be used as spacers or liners for low dielectric constants in a variety of applications.

Figure 1C shows a cross-section of a boron-containing liner 121 deposited on the sidewalls of the gate electrode structure of the transistor. As shown in fig. 1C, the transistor may be a CMOS transistor having a silicon substrate 120, the silicon substrate 120 having a source 122 and a drain 123. A gate dielectric 124 may be deposited on the silicon substrate 120 and a gate electrode 125 may be deposited on the gate dielectric 124 to form a transistor. Oxygen-doped silicon carbide spacers or liners 121 may be deposited on the sidewalls of the gate electrode 125 and the gate dielectric 124.

In another example, fig. 1D illustrates a cross-section of a boron-containing film deposited on sidewalls of exposed copper lines in an air gap type metallization layer. Air gaps 130 may be introduced into the integrated circuit layer between copper lines 132, thereby reducing the effective k value of the layer. A boron-containing liner 131 may be deposited on the sidewalls of the copper line 132 and a non-conformal dielectric layer 133 may be deposited on the air gap 130, the liner 131, and the copper line 132. Examples of such air gap type metallization layers may be described in U.S. patent application publication No.2004/0232552 to Fei Wang et al, which is incorporated by reference herein in its entirety for all purposes.

In some embodiments, an oxygen-doped silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric material. The ultra-low k dielectric material may be made of a porous structure. The pores in such materials may provide an inlet region for metal during deposition of subsequent layers, including deposition of a diffusion barrier layer comprising a metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines. Thus, the boron-containing films can be used not only as barrier layers, etch stop layers, encapsulation layers, ashable conformal hard masks, spacers, liners, but also as aperture sealants.

FIG. 1E illustrates a cross-section of a boron-containing film as a pore sealant for porous dielectric materials. The porous dielectric layer 142 may have a plurality of trenches or vias cut into the porous dielectric layer 142 to form the pores 140. Boron-containing film 141 can be deposited along aperture 140 to effectively seal aperture 140. Sealing the pores 140 with the boron-containing film 141 avoids damage to the porous dielectric layer 142 that might otherwise be caused by other sealing techniques using a plasma. Boron-containing film 141 may be sufficiently dense to act as a pore sealant. In some embodiments, the etched dielectric material, such as the porous dielectric layer 142, may first be treated by a "k-recovery" process that exposes the porous dielectric layer 142 to UV radiation and a reducing agent. This restoration process is further described in commonly owned U.S. patent application publication No.2011/0111533 to vararajan et al, which is incorporated by reference herein in its entirety for all purposes. In another "k-recovery" process, porous dielectric layer 142 may be exposed to UV radiation and a chemical silylating agent. This restoration process is further described in commonly owned U.S. patent application publication No.2011/0117678 to vararajan et al, which is incorporated by reference herein in its entirety and for all purposes. After exposing the pores 140 to a healing process, thereby rendering the surface more hydrophilic and providing a monolayer of material, a conformally deposited layer comprising boron film 141 may be deposited to effectively seal the pores of the porous dielectric layer 142.

Conclusion

In the previous description, numerous specific details were set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to obscure the present invention. Although the disclosed embodiments have been described in connection with specific embodiments, it should be understood that it is not intended to limit the disclosed embodiments.

Although the foregoing embodiments have been described in some detail for purposes of clarity and understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing processes, systems, and apparatuses of the embodiments provided. Accordingly, the described embodiments are to be considered as illustrative and not restrictive, and the described embodiments are not to be limited to the details given herein.

27页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:制备甲醇的方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!