Three-dimensional memory device and method of forming the same

文档序号:139915 发布日期:2021-10-22 浏览:55次 中文

阅读说明:本技术 三维存储器件及其形成方法 (Three-dimensional memory device and method of forming the same ) 是由 贾汉中 林孟汉 王圣祯 杨丰诚 林仲德 于 2021-03-25 设计创作,主要内容包括:形成三维(3D)存储器件的方法包括:在衬底上方形成层堆叠件,该层堆叠件包括第一介电材料与第二介电材料的交替层;形成延伸穿过层堆叠件的沟槽;将第二介电材料替换成导电材料以形成字线(WL);用铁电材料内衬沟槽的侧壁和底部;用第三介电材料填充沟槽;形成垂直延伸穿过第三介电材料的位线(BL)和源极线(SL);去除第三介电材料的一部分,以在第三介电材料中的BL和SL之间形成开口;沿开口的侧壁形成沟道材料;并用第四介电材料填充开口。本申请的实施例还涉及三维(3D)存储器件。(A method of forming a three-dimensional (3D) memory device includes: forming a layer stack over a substrate, the layer stack comprising alternating layers of a first dielectric material and a second dielectric material; forming a trench extending through the layer stack; replacing the second dielectric material with a conductive material to form a Word Line (WL); lining the sidewalls and bottom of the trench with a ferroelectric material; filling the trench with a third dielectric material; forming a Bit Line (BL) and a Source Line (SL) extending vertically through the third dielectric material; removing a portion of the third dielectric material to form an opening between the BL and SL in the third dielectric material; forming a channel material along sidewalls of the opening; and filling the opening with a fourth dielectric material. Embodiments of the present application also relate to three-dimensional (3D) memory devices.)

1. A method of forming a three-dimensional (3D) memory device, the method comprising:

forming a layer stack over a substrate, the layer stack comprising alternating layers of a first dielectric material and a second dielectric material;

forming a first trench extending through the layer stack, the first trench exposing a first sidewall of the first dielectric material and a second sidewall of the second dielectric material;

recessing the second sidewall of the second dielectric material from the first sidewall of the first dielectric material to form a first recess;

filling the first recess with a first conductive material to form a Word Line (WL);

after filling the first recess, lining sidewalls and a bottom of the first trench with a ferroelectric material;

filling the first trench with a third dielectric material after the liner;

forming a first Bit Line (BL) and a first Source Line (SL) in the third dielectric material in one of the first trenches, wherein the first bit line and the first source line extend vertically through the third dielectric material from a lower surface of the third dielectric material facing the substrate to an upper surface of the third dielectric material remote from the substrate;

removing portions of the third dielectric material disposed between the first bit lines and the first source lines to form first openings in the third dielectric material;

forming a channel material along sidewalls of the first opening; and

the first opening is filled with a fourth dielectric material.

2. The method of claim 1, wherein filling the first recess comprises:

forming a seed layer over exposed surfaces of the first and second dielectric materials;

forming the first conductive material over the seed layer, the first conductive material filling the first groove; and

an anisotropic etch process is performed to remove portions of the seed layer and portions of the first conductive material disposed outside of the first grooves.

3. The method of claim 1, further comprising: after filling the first trench and before forming the first bit line and the first source line:

forming a second trench extending through the layer stack, the second trench interleaved with the first trench;

recessing the second dielectric material exposed by the second trench to form a second recess;

filling the second recess with the first conductive material to form additional word lines;

forming the ferroelectric material along sidewalls and a bottom of the second trench after filling the second recess; and

after forming the ferroelectric material along the sidewalls and the bottom of the second trench, filling the second trench with the third dielectric material.

4. The method of claim 3, wherein after filling the second recess, no second dielectric material is laterally disposed between the wordline and the additional wordline.

5. The method of claim 3, further comprising forming a second bit line and a second source line in the third dielectric material in one of the second trenches, wherein, in a top view, the first bit line and the first source line are staggered with respect to the second bit line and the second source line.

6. The method of claim 1, wherein forming the first bit line and the first source line comprises:

forming a second opening in the third dielectric material at a location corresponding to the first bit line, the second opening extending vertically through the third dielectric material;

forming a third opening in the third dielectric material at a location corresponding to the first source line, the third opening extending vertically through the third dielectric material; and

filling the second opening and the third opening with a second conductive material.

7. The method of claim 1, wherein the first opening exposes sidewalls of the first source line, sidewalls of the first bit line, and sidewalls of the ferroelectric material.

8. The method of claim 1, wherein the channel material surrounds the fourth dielectric material in a top view after filling the first opening.

9. A method of forming a three-dimensional (3D) memory device, the method comprising:

forming a layer stack over a substrate, the layer stack comprising alternating layers of a first dielectric material and a second dielectric material;

forming a trench extending through the layer stack;

replacing the second dielectric material with a conductive material to form a Word Line (WL);

lining sidewalls and bottom of the trench with a ferroelectric material;

filling the trench with a third dielectric material;

forming a Bit Line (BL) and a Source Line (SL) extending vertically through the third dielectric material;

removing portions of the third dielectric material to form openings in the third dielectric material between the bit lines and the source lines;

forming a channel material along sidewalls of the opening; and

the opening is filled with a fourth dielectric material.

10. A semiconductor device, comprising:

a substrate;

a layer stack over the substrate, wherein the layer stack includes a plurality of dielectric layers and a plurality of Word Lines (WLs) interleaved with the plurality of dielectric layers;

a dielectric structure embedded in the stack of layers and extending vertically through the stack of layers from a lower surface of the stack of layers facing the substrate to an upper surface of the stack of layers facing away from the substrate;

a channel material surrounding and contacting sidewalls of the dielectric structure;

a Bit Line (BL) and a Source Line (SL) extending vertically through the layer stack, wherein the bit line extends along and is in contact with a first sidewall of the channel material, wherein the source line extends along and is in contact with a second sidewall of the channel material opposite the first sidewall; and

a ferroelectric material extending vertically through the layer stack, wherein a first portion of the ferroelectric material extends along and is in contact with a third sidewall of the channel material, wherein a second portion of the ferroelectric material extends along and is in contact with a fourth sidewall of the channel material opposite the third sidewall.

Technical Field

Embodiments of the present application relate to a three-dimensional memory device and a method of forming the same.

Background

For example, semiconductor memory is used in integrated circuits for electronic applications including radios, televisions, cell phones, and personal computing devices. Semiconductor memories include two main categories. One is a volatile memory; the other is a non-volatile memory. Volatile memory includes Random Access Memory (RAM), which can be further divided into two subcategories: static Random Access Memory (SRAM) and Dynamic Random Access Memory (DRAM). Both SRAM and DRAM are volatile because they lose stored information when power is removed.

On the other hand, the nonvolatile memory may store data thereon when not powered on. One type of non-volatile semiconductor memory is ferroelectric random access memory (FeRAM, or FRAM). The advantages of FeRAM include fast read/write speed and small size.

Disclosure of Invention

Some embodiments of the present application provide a method of forming a three-dimensional (3D) memory device, the method comprising: forming a layer stack over a substrate, the layer stack comprising alternating layers of a first dielectric material and a second dielectric material; forming a first trench extending through the layer stack, the first trench exposing a first sidewall of the first dielectric material and a second sidewall of the second dielectric material; recessing the second sidewall of the second dielectric material from the first sidewall of the first dielectric material to form a first recess; filling the first recess with a first conductive material to form a Word Line (WL); after filling the first recess, lining sidewalls and a bottom of the first trench with a ferroelectric material; filling the first trench with a third dielectric material after the liner; forming a first Bit Line (BL) and a first Source Line (SL) in the third dielectric material in one of the first trenches, wherein the first bit line and the first source line extend vertically through the third dielectric material from a lower surface of the third dielectric material facing the substrate to an upper surface of the third dielectric material remote from the substrate; removing a portion of the third dielectric material disposed between the first bit line and the first source line to form a first opening in the third dielectric material; forming a channel material along sidewalls of the first opening; and filling the first opening with a fourth dielectric material.

Other embodiments of the present application provide a method of forming a three-dimensional (3D) memory device, the method comprising: forming a layer stack over a substrate, the layer stack comprising alternating layers of a first dielectric material and a second dielectric material; forming a trench extending through the layer stack; replacing the second dielectric material with a conductive material to form a Word Line (WL); lining sidewalls and bottom of the trench with a ferroelectric material; filling the trench with a third dielectric material; forming a Bit Line (BL) and a Source Line (SL) extending vertically through the third dielectric material; removing portions of the third dielectric material to form openings in the third dielectric material between the bit lines and the source lines; forming a channel material along sidewalls of the opening; and filling the opening with a fourth dielectric material.

Still further embodiments of the present application provide a semiconductor device including: a substrate; a layer stack over the substrate, wherein the layer stack includes a plurality of dielectric layers and a plurality of Word Lines (WLs) interleaved with the plurality of dielectric layers; a dielectric structure embedded in the stack of layers and extending vertically through the stack of layers from a lower surface of the stack of layers facing the substrate to an upper surface of the stack of layers facing away from the substrate; a channel material surrounding and contacting sidewalls of the dielectric structure; a Bit Line (BL) and a Source Line (SL) extending vertically through the layer stack, wherein the bit line extends along and is in contact with a first sidewall of the channel material, wherein the source line extends along and is in contact with a second sidewall of the channel material opposite the first sidewall; and a ferroelectric material extending vertically through the layer stack, wherein a first portion of the ferroelectric material extends along and is in contact with a third sidewall of the channel material, wherein a second portion of the ferroelectric material extends along and is in contact with a fourth sidewall of the channel material opposite the third sidewall.

Drawings

Aspects of the invention are best understood from the following detailed description when read with the accompanying drawing figures. It should be noted that, in accordance with standard practice in the industry, the various components are not drawn to scale. In fact, the dimensions of the various elements may be arbitrarily increased or reduced for clarity of discussion.

Fig. 1 shows a cross-sectional view of a semiconductor device with an integrated memory device in an embodiment.

Fig. 2-18 and 19A-19F illustrate various views of a three-dimensional (3D) ferroelectric random access memory (FeRAM) device at various stages of fabrication in an embodiment.

Fig. 20 and 21 show cross-sectional views of a three-dimensional (3D) ferroelectric random access memory (FeRAM) device at various stages of fabrication in another embodiment.

Fig. 22 shows a top view of a three-dimensional (3D) ferroelectric random access memory (FeRAM) device in yet another embodiment.

Fig. 23 illustrates a flow diagram of a method of forming a three-dimensional (3D) memory device in some embodiments.

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting of the invention. For example, in the description that follows, forming a first feature over or on a second feature may include embodiments in which the first feature is in direct contact with the second feature, as well as embodiments in which additional features are formed between the first and second features such that the first and second features are not in direct contact.

Furthermore, spatially relative terms, such as "below," "lower," "below," "above," "upper," and the like, may be used herein for ease of description to describe one element or component's relationship to another element or component as illustrated in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used therein interpreted accordingly. Throughout the discussion herein, unless otherwise noted, the same or similar reference numerals in different figures refer to the same or similar elements formed by the same or similar processes using the same or similar materials.

In some embodiments, a back channel method for forming a three-dimensional (3D) memory device includes: forming a layer stack over a substrate, the layer stack comprising alternating layers of a first dielectric material and a second dielectric material; forming a trench extending through the layer stack; replacing the second dielectric material with a conductive material to form a Word Line (WL); lining sidewalls and bottom of the trench with a ferroelectric material; filling the trench with a third dielectric material; forming Bit Lines (BL) and Source Lines (SL) extending through the third dielectric material; removing portions of the third dielectric material disposed between respective BL and SL to form openings in the third dielectric material; forming a channel material along sidewalls of the opening; and filling the opening with a fourth dielectric material.

Fig. 1 illustrates a cross-sectional view of a semiconductor device 100 with an integrated memory device 123 (e.g., 123A and 123B) in an embodiment. In the illustrated embodiment, the semiconductor device 100 is a fin field effect transistor (FinFET) device having a three-dimensional (3D) ferroelectric random access memory (FeRAM) device 123 integrated in back end of line (BEOL) processing of semiconductor fabrication. It should be noted that finfets are used here as non-limiting examples. The FeRAM device 123 may be integrated in any suitable device, such as a semiconductor device having a planar transistor or a fully gate-around (GAA) transistor. To avoid clutter, details of the storage device 123 are not shown in fig. 1, but are shown in subsequent figures below.

As shown in fig. 1, the semiconductor device 100 includes different regions for forming different types of circuits. For example, the semiconductor device 100 may include a first region 110 for forming a logic circuit, and may include a second region 120 for forming, for example, a peripheral circuit, an input/output (I/O) circuit, an electrostatic discharge (ESD) circuit, and/or an analog circuit. Other regions for forming other types of circuits are possible and are fully intended to be included within the scope of the present invention.

The semiconductor device 100 includes a substrate 101. The substrate 101 may be a bulk substrate, such as a doped or undoped silicon substrate, or an active layer of a semiconductor-on-insulator (SOI) substrate. Substrate 101 may include other semiconductor materials, such as germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, gallium nitride, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or a combination thereof. Other substrates, such as multilayer or gradient substrates, may also be used.

In front end of line (FEOL) processing of semiconductor manufacturing, electronic components, such as transistors, resistors, capacitors, inductors, diodes, are formed in or on the substrate 101. In the example of fig. 1, semiconductor fins 103 (also referred to as fins) are formed to protrude above the substrate 101. Isolation regions 105, such as Shallow Trench Isolation (STI) regions, are formed between or around the semiconductor fins 103. The gate electrode 109 is formed over the semiconductor fin 103. Gate spacers 111 are formed along sidewalls of the gate electrode 109. Source/drain regions 107, such as epitaxial source/drain regions, are formed on opposite sides of the gate electrode 109. Contacts 113, such as gate contacts and source/drain contacts, are formed over and electrically coupled to respective underlying conductive features (e.g., gate electrode 109 or source/drain regions 107). One or more dielectric layers 117, such as an interlayer dielectric (ILD) layer, are formed over the substrate 101 and around the semiconductor fin 103 and the gate electrode 109. Other conductive features, such as interconnect structures including conductive lines 115 and vias 114, may also be formed in one or more dielectric layers 117. The FinFET in fig. 1 may be formed by any suitable method known or used in the art and will not be repeated here. For purposes of discussion herein, substrate 101, electronic components (e.g., finfets) formed in/on substrate 101, contacts 113, conductive members 115/114, and one or more dielectric layers 117 are collectively referred to as substrate 50.

Still referring to fig. 1, a dielectric layer 119, which may be an Etch Stop Layer (ESL), may be formed over the one or more dielectric layers 117. In one embodiment, the dielectric layer 119 is formed of silicon nitride using plasma enhanced physical vapor deposition (PECVD), although other dielectric materials, such as nitrides, carbides, combinations thereof, and the like, may alternatively be used, as well as alternative techniques for forming the dielectric layer 119, such as Low Pressure Chemical Vapor Deposition (LPCVD), PVD, and the like. In some embodiments, dielectric layer 119 is omitted. Next, a dielectric layer 121 is formed over the dielectric layer 119. Dielectric layer 121 may be any suitable dielectric material, such as silicon oxide, silicon nitride, etc., formed by suitable methods such as PVD, CVD, etc. One or more memory devices 123A (each of which includes a plurality of memory cells) are formed in the dielectric layer 121 and coupled to conductive features (e.g., vias 124 and conductive lines 125) in the dielectric layer 121. Various embodiments of the memory device 123A or 123B in fig. 1 (e.g., 3D memory devices 200, 200A, and 200B) are discussed in detail below.

Fig. 1 further illustrates a second layer of memory device 123B formed over memory device 123A. The memory devices 123A and 123B may have the same or similar structure and may be collectively referred to as the memory device 123. The example of FIG. 1 shows two layers of the memory device 123 as a non-limiting example. Other numbers of layers of the memory device 123, such as one, three, or more layers, are also possible and are fully intended to be included within the scope of the present invention. One or more layers of the memory device 123 are formed in the memory region 130 of the semiconductor device 100 and may be formed in back end of line (BEOL) processing of semiconductor fabrication. The memory device 123 may be formed at any suitable location within the semiconductor device 100 in the BEOL processing, such as over (e.g., directly over) the first region 110, over the second region 120, or over multiple regions.

Still referring to fig. 1, after forming memory region 130, an interconnect structure 140 is formed over memory region 130, interconnect structure 140 including dielectric layer 121 and conductive features (e.g., vias 124 and conductive lines 125) in dielectric layer 121. The interconnect structure 140 may electrically connect electronic components formed in/on the substrate 101 to form functional circuitry. The interconnect structure 140 may also electrically couple the memory device 123 to components formed in/on the substrate 101 and/or electrically couple the memory device 123 to conductive pads formed over the interconnect structure 140 for connection with external circuitry or external devices. The formation of the interconnecting structures is known in the art and therefore details are not repeated here.

In some embodiments, the memory device 123 is electrically coupled to electronic components (e.g., transistors) formed on the substrate 50, for example, by vias 124 and conductive lines 125, and in some embodiments is controlled or accessed (e.g., written to or read from) by functional circuitry of the semiconductor device 100. Additionally or alternatively, the memory device 123 is electrically coupled to conductive pads formed over a top metal layer of the interconnect structure 140, in which case, in some embodiments, the memory device 123 may be controlled or accessed by external circuitry (e.g., another semiconductor device) without directly involving functional circuitry of the semiconductor device 100. Although additional metal layers (e.g., interconnect structure 140) are formed above memory device 123 in the example of fig. 1, memory device 123 may be formed in a top (e.g., topmost) metal layer of semiconductor device 100, and these and other variations are fully intended to be included within the scope of the present invention.

In one embodiment, fig. 2-18 and 19A-19F illustrate various views (perspective, cross-sectional, top-down) of a three-dimensional (3D) ferroelectric random access memory (FeRAM) device 200 at various stages of fabrication in an embodiment. For ease of discussion, in the discussion herein, a 3D FeRAM device may also be referred to as a 3D memory device, or simply a memory device. The 3D memory device 200 is a three-dimensional memory device having a ferroelectric material. The 3D memory device 200 may be used as the memory devices 123A and/or 123B in fig. 1. It should be noted that all components of the 3D memory device 200 are not shown in the drawings for the sake of brevity.

In fig. 2, a dielectric layer 201 (which may also be referred to as a glue layer) is formed over the substrate 50, and a multilayer stack 204 (which may also be referred to as a layer stack) is formed over the dielectric layer 201. Note that the substrate 50 is shown in fig. 2 to illustrate the position of the 3D memory device 200 with respect to the substrate 50, and the substrate 50 may not be considered as a part of the 3D memory device 200. In addition, all components of the 3D memory device 200 are not shown. For example, dielectric layer 119 over substrate 50 (see fig. 1) is not shown in fig. 2. For simplicity, the substrate 50 is not shown in subsequent figures.

In some embodiments, the dielectric layer 201 comprises a suitable material, such as a dielectric material different from the material of the multilayer stack 204, to provide etch selectivity. In the illustrated embodiment, the dielectric layer 201 serves as an etch stop layer for a subsequent etch process to form a first trench 206 (see fig. 3) and a second trench 212 (see fig. 9). Dielectric layer 201 may comprise a suitable dielectric material, such as silicon carbide (SiC), and may be formed by a suitable formation method, such as Atomic Layer Deposition (ALD), sputtering, Physical Vapor Deposition (PVD), Chemical Vapor Deposition (CVD), and the like.

Multilayer stack 204 includes alternating first 203 and second 205 dielectric layers. The first dielectric layer 203 is formed of a first dielectric material and the second dielectric layer 205 is formed of a second dielectric material different from the first dielectric material to provide etch selectivity. The dielectric materials used to form the first dielectric layer 203 and the second dielectric layer 205 include: oxides such as silicon oxide; nitrides, such as silicon nitride; carbides, such as silicon carbide; and the like; or combinations thereof, such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, and the like. Suitable formation methods such as CVD, PVD, ALD, and the like may be used to form the first dielectric layer 203 and the second dielectric layer 205. In the embodiment shown, multilayer stack 204 includes five first dielectric layers 203 and four second dielectric layers 205. It is to be understood that the multi-layer stack 204 may include any suitable number of first and second dielectric layers 203, 205.

The multilayer stack 204 will be patterned in subsequent processing. As such, the dielectric materials of the first dielectric layer 203 and the second dielectric layer 205 have high etch selectivity from the etching of the dielectric layer 201. The patterned first dielectric layer 203 will serve to isolate subsequently formed memory cells. The patterned second dielectric layer 205 is a sacrificial layer (or dummy layer) that will be removed and replaced with a word line for the memory cell in subsequent processing. As such, the second dielectric material of the second dielectric layer 205 also has a high etch selectivity relative to the first dielectric material of the first dielectric layer 203. In embodiments where dielectric layer 201 is formed of titanium nitride, first dielectric layer 203 may be formed of an oxide such as silicon oxide and second dielectric layer 205 may be formed of a nitride such as silicon nitride. Other combinations of dielectric materials with acceptable etch selectivity to each other may also be used.

The thickness of each layer in the layer stack 204 may range from about 15nm to about 90 nm. In some embodiments, first dielectric layer 203 is formed to a different thickness than second dielectric layer 205. For example, the first dielectric layer 203 may be formed to a first thickness T1And the second dielectric layer 205 may be formed to a second thickness T2A second thickness T2Is greater than the first thickness T1From about 0% greater or smaller to about 100%. The layer stack 104 may have an overall height H in a range of about 1000nm to about 10000nm1

Next, in fig. 3, a first trench 206 is formed in the multilayer stack 204. In the embodiment shown, the first trench 206 extends through the multilayer stack 204 and exposes the dielectric layer 201. The first trench 206 may be formed using acceptable photolithography and etching techniques, such as utilizing an etch process that is selective to the multi-layer stack 204 (e.g., etching the dielectric material of the first dielectric layer 203 and the second dielectric layer 205 at a faster rate than the material of the dielectric layer 201). The etch may be any acceptable etch process such as Reactive Ion Etching (RIE), Neutral Beam Etching (NBE), the like, or combinations thereof. Can be etchedSo as to be anisotropic. In embodiments where dielectric layer 201 is formed of silicon carbide, first dielectric layer 203 is formed of silicon oxide and second dielectric layer 205 is formed of silicon nitride, first trench 206 may be formed by using hydrogen (H) with silicon nitride2) Gas or oxygen (O)2) Gas-mixed fluorine-based gases (e.g. C)4F6) Is formed by dry etching.

A portion of the multilayer stack 204 is disposed between each pair of first trenches 206. Each portion of the multi-layer stack 204 may have a width W in a range of about 50nm to about 500nm1And has a height H as discussed with respect to FIG. 21. Further, each portion of the multilayer stack 204 is separated by a separation distance S1The separation distance S1May range from about 50nm to about 200 nm. The Aspect Ratio (AR) of each portion of the multi-layer stack 204 is the height H1The ratio to the width of the narrowest part of the portion of the multilayer stack 204, i.e., the width W in this processing step1. According to some embodiments, when forming the first trench 206, the aspect ratio of each portion of the multilayer stack 204 is in a range of about 5 to about 15. Forming each portion of the multilayer stack 204 with an aspect ratio less than about 5 may not allow the memory array to have a sufficient memory cell density. Forming each portion of the multilayer stack 204 with an aspect ratio greater than about 15 may cause distortion or collapse of the multilayer stack 204 during subsequent processing.

Next, in fig. 4, the sidewalls of the second dielectric layer 205 exposed by the first trench 206 are recessed from the sidewalls of the first dielectric layer 203 to form a first sidewall recess 208. Although the sidewalls of the second dielectric layer 205 are shown as being straight, the sidewalls may be concave or convex. The first sidewall recess 208 may be formed by an acceptable etch process, such as an etch process that is selective to the material of the second dielectric layer 205 (e.g., the material of the second dielectric layer 205 is etched at a faster rate than the material of the first dielectric layer 203 and the dielectric layer 201). The etching may be isotropic. In embodiments where dielectric layer 201 is formed of silicon carbide, first dielectric layer 203 is formed of silicon oxide and second dielectric layer 205 is formed of silicon nitride, phosphoric acid may be used(H3PO4) Wet etching is performed to form the first sidewall recesses 208. In another embodiment, a dry etch selective to the material of the second dielectric layer 205 may be used.

After formation, the first sidewall recess 208 has a depth D that extends past the sidewalls of the first dielectric layer 2033. The target depth D is reached in the first sidewall recess 2083Thereafter, the etching of the first sidewall recess 208 may be stopped using a timed etch process. For example, the first sidewall recess 208 may have a D in the range of about 10nm to about 60nm3. Forming the first sidewall recess 208 reduces the width of the second dielectric layer 205. Continuing with the previous example, after etching, the second dielectric layer 205 may have a width W in a range of about 50nm to about 450nm2. As described above, the Aspect Ratio (AR) of each portion of the multi-layer stack 204 is the height H1The ratio to the width of the narrowest part of the portion of the multilayer stack 204, i.e., the width W in this processing step2. Thus, forming the first sidewall recess 208 increases the aspect ratio of each portion of the multi-layer stack 204. According to some embodiments, after forming the first sidewall recesses 208, the aspect ratio of each portion of the multilayer stack 204 remains within the ranges discussed above, for example, within a range of about 5 to about 15. Thus, the advantages of such aspect ratios (as described above) are still achievable.

Next, in fig. 5, a seed layer 207 is formed (e.g., conformally) over exposed surfaces of dielectric layer 201, first dielectric layer 203, and second dielectric layer 205. In some embodiments, seed layer 207 is formed of a conductive (e.g., electrically conductive) material, such as a metal nitride, e.g., titanium nitride, tantalum nitride, molybdenum nitride, zirconium nitride, hafnium nitride, etc., and may be formed using CVD, ALD, etc.

Next, in fig. 6, a conductive material 209, such as a metal, such as tungsten, ruthenium, molybdenum, cobalt, aluminum, nickel, copper, silver, gold, alloys thereof, or the like, is formed over the seed layer 207. The conductive material 209 fills the first sidewall recess 208. The conductive material 209 may be formed by a suitable deposition method such as CVD, PVD, ALD, and the like.

Next, in fig. 7, a suitable etching process, such as dry etching (e.g., Reactive Ion Etching (RIE), Neutral Beam Etching (NBE), etc.), wet etching, etc., or combinations thereof, may be performed to remove excess material, for example, from the sidewalls of the first dielectric layer 203 and the upper surface of the dielectric layer 201. In the illustrated embodiment, the etching process is an anisotropic etching process. After the etching process (e.g., an anisotropic etching process), the portion of the seed layer 207 and the portion of the conductive material 209 (e.g., the portion disposed in the first sidewall recess 208) disposed within the lateral extent of the first dielectric layer 203 remain to form the first conductive feature 210A, and the seed layer 207 and other portions of the conductive material 209 (e.g., the portions disposed outside the first sidewall recess 208) are removed by the etching process. As shown in fig. 7, after the etching process, the seed layer 207 extends along three sides (e.g., a top surface, sidewalls, and a bottom surface) of the corresponding portion of the conductive material 209.

In the illustrated embodiment, the first conductive component 210A has the same or similar total thickness T as the second dielectric layer 2052And has a depth D corresponding to the first sidewall recess 2083The same or similar overall width (discussed above with reference to fig. 4). The seed layer 207 may have a thickness T in the range of about 1nm to about 10nm3And the conductive material 209 of each of the first conductive features 210A may have a thickness T in a range of about, for example, 14nm to about 89nm4Thickness T4Greater than thickness T3

In fig. 7, a first conductive feature 210A is formed in the first sidewall recess 208, completing the process for replacing the first portion of the second dielectric layer 205. In subsequent processing, the remaining portion of the second dielectric layer 205 is replaced with a second conductive feature 210B, as discussed below with reference to fig. 9-12.

Next, in fig. 8, a ferroelectric film 213 is formed (e.g., conformally) to line the sidewalls and bottom of the first trench 206, and a dielectric layer 211 is formed over the ferroelectric film 213 to fill the first trench 206.

In some embodiments, ferroelectric film 213 is formed of a suitable ferroelectric material, such as hafnium zirconium oxide (HfZrO); zirconium oxide (ZrO); hafnium oxide (HfO) doped with lanthanum (La), silicon (Si), aluminum (Al), or the like; undoped hafnium oxide (HfO); and the like. The material of the ferroelectric film 213 may be formed by a suitable deposition process such as ALD, CVD, PVD, and the like.

The dielectric layer 211 is formed of a dielectric material. Acceptable dielectric materials include: oxides such as silicon oxide or aluminum oxide; nitrides, such as silicon nitride; carbides, such as silicon carbide; and the like; or combinations thereof, such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, and the like. The material of the dielectric layer 211 may be formed by an acceptable deposition process such as ALD, CVD, flowable CVD (fcvd), and the like.

Next, a planarization process such as Chemical Mechanical Planarization (CMP) may be performed to remove an excess portion of the ferroelectric film 213 and an excess portion of the dielectric layer 211 from the upper surface of the multi-layer stack 204. As a result, a coplanar upper surface is achieved between the multilayer stack 204, the ferroelectric film 213, and the dielectric layer 211.

Next, in fig. 9, a second trench 212 is formed in the multilayer stack 204. In the embodiment shown, the second trench 212 extends through the multilayer stack 204 and exposes the dielectric layer 201. The second trench 212 may be formed using the same or similar process as the first trench 206 and therefore details are not repeated. As shown in fig. 9, the second trench 212 is formed to extend through the remaining portion of the second dielectric layer 205 such that the second trench 212 is interleaved with the first trench 206 (now filled with the ferroelectric film 213 and the second dielectric layer 211).

In fig. 9, a portion of the multilayer stack 204 is disposed between the second trenches 212 and the respective first trenches 206. Each portion of multilayer stack 204 can have a width W in the range of about 50nm to about 500nm3And has a height H1(see FIG. 3). Further, each portion of the multilayer stack 204 is separated by a separation distance S2The separation distance S2May range from about 50nm to about 200 nm. The Aspect Ratio (AR) of each portion of the multi-layer stack 204 is the height H1The ratio to the width of the narrowest part of the portion of the multilayer stack 204, i.e., the width W in this processing step3. According to some embodiments, when forming the second trench 212,the aspect ratio of each portion of the multilayer stack 204 is in the range of about 5 to about 15. Forming each portion of multi-layer stack 204 with an aspect ratio of less than about 5 may not allow a subsequently formed memory array to have a sufficient memory cell density. Forming each portion of the multilayer stack 204 with an aspect ratio greater than about 15 may cause distortion or collapse of the multilayer stack 204 during subsequent processing.

Next, in fig. 10, the remaining portion of the second dielectric layer 205 is removed, for example, by performing an etching process using an etchant having selectivity to the material of the second dielectric layer 205. The etching process may be the same as or similar to the etching process used to form the first sidewall recesses 208 discussed above with reference to fig. 4, and therefore, details are not repeated. After the remaining portion of the second dielectric layer 205 is removed, the second sidewall recess 218 is formed and no second dielectric layer 205 remains in the structure of fig. 10. It is noted that the second sidewall recess exposes the seed layer 207 that was previously formed along the sidewalls of the conductive material 209.

Next, a seed layer 207 is formed (e.g., conformally) over the exposed surfaces of the dielectric layer 201, the first dielectric layer 203, and the first conductive structure 210A. The methods of forming seed layer 207 may be the same as or similar to those discussed above with reference to fig. 5, and therefore, details are not repeated. It should be noted that in the region 236 of fig. 10, the newly formed seed layer 207 merges with the previously formed seed layer 207, and thus, the thickness of the (merged) seed layer 207 in the region 236 (e.g., the portion extending along the sidewalls of the conductive material 209) may be twice the thickness of the seed layer 207 in other regions (e.g., the portions along the upper and lower surfaces of the conductive material 209).

Next, in fig. 11, a conductive material 209 is formed over the seed layer 207. In the embodiment shown, the conductive material 209 fills the second sidewall recess 218. The material and method of formation of the conductive material 209 may be the same or similar to those discussed above with reference to fig. 6, and therefore, details are not repeated.

Next, in fig. 12, a suitable etching process (e.g., an isotropic etching process) is performed to remove portions of the seed layer 207 and portions of the conductive material 209 disposed outside the second sidewall recesses 218. The etching process may be the same as or similar to the etching process discussed above with reference to fig. 7, and thus, details are not repeated. After the etching process, the remaining portion of the conductive material 209 and the remaining portion of the seed layer 207 (e.g., the portion within the second sidewall recess 218) form a second conductive feature 210B, which completes the process of replacing the remaining portion of the second dielectric layer 205 with the second conductive feature 210B.

As shown in fig. 12, each of the first conductive components 210A contacts (e.g., physical contacts) and is electrically coupled to a respective second conductive component 210B. The first conductive component 210A and the second conductive component 210B are collectively referred to as a conductive component 210. As will be discussed below, the conductive feature 210 serves as a gate electrode of a FeRAM memory cell (which is a transistor with integrated ferroelectric material). In the context of a memory device, the conductive features 210 are also referred to as Word Lines (WLs) 210 of the memory device. In the embodiment shown, each pair of first and second conductive features 210A and 210B (in physical contact with each other) serves as a single word line 210.

Next, in fig. 13, a ferroelectric film 213 is formed (e.g., conformally) to line the sidewalls and bottom of the second trench 212, and a dielectric layer 211 is formed over the ferroelectric film 213 to fill the second trench 212. The materials and formation methods of the ferroelectric film 213 and the dielectric layer 211 may be the same as or similar to those discussed above with reference to fig. 9, and thus, details are not repeated. Next, a planarization process such as CMP may be performed to remove an excess portion of the ferroelectric film 213 and an excess portion of the dielectric layer 211 from the upper surface of the topmost first dielectric layer 203. As a result, a coplanar upper surface is achieved between the topmost first dielectric layer 203, the ferroelectric film 213 and the dielectric layer 211.

Note that in the structure of fig. 13, the second dielectric layer 205 in the multilayer stack 204 is replaced with a conductive member 210. For ease of discussion, the new stack of layers comprising alternating layers of the first dielectric layer 203 and the conductive member 210 is referred to as the multilayer stack 216 (or stack of layers 216).

In other processing steps, the structure shown in fig. 13 is formed by forming the first trench 206, filling the first trench 206, then forming the second trench 212 and filling the second trench 212. Since the trenches (e.g., 206, 212) define the locations of subsequently formed memory cells, the process disclosed above allows memory cells to be formed at high density without the problems associated with high aspect ratios of the layer stack 204, such as collapse or twisting of the layer stack. In contrast, the reference method of forming all trenches (e.g., 206, 212) at the same time (e.g., using the same etch process) may not form trenches close to each other as the disclosed method, because the high aspect ratio of the portion of the layer stack 204 between the trenches may cause the layer stack 204 to collapse, resulting in device failure.

Next, in fig. 14, bit lines 215 and source lines 217 are formed in the dielectric layer 211. The bit line 215 and source line 217 may be formed as conductive pillars (e.g., metal pillars) extending through the dielectric layer 211. As will be discussed below, the bit line 215 and source line 217 serve as source/drain regions for the transistors of the FeRAM memory cells.

In some embodiments, to form the bit lines 215 and source lines 217, openings are formed in the dielectric layer 211 at locations where the bit lines 215 and source lines 217 are to be formed, for example, using suitable photolithography and etching techniques. In some embodiments, an opening is formed to extend through the dielectric layer 211 and the ferroelectric film 213. In some embodiments, the openings further extend through the dielectric layer 201, which may allow subsequently formed bit lines 215 and source lines 217 to be directly connected to underlying circuitry or devices. In some embodiments, the openings do not extend through the dielectric layer 201, in which case the bit lines 215 and source lines 217 may be connected to conductive features overlying the memory devices (see, e.g., vias 124 and conductive lines 125 above the memory devices 123 in fig. 1), and electrical connection to underlying circuitry or devices may be made through vias 124 disposed in the dielectric layer 121 adjacent the memory devices (see, e.g., vias 124 adjacent the memory devices 123 in fig. 1). Next, one or more conductive materials (e.g., electrically conductive materials) are then formed in the openings. Suitable conductive materials include metals such as tungsten, cobalt, aluminum, nickel, copper, silver, gold, alloys thereof, and the like. The conductive material may be formed by an acceptable deposition process such as ALD, CVD, etc., a plating process such as electroplating or electroless plating, etc. In some embodiments, tungsten is deposited in the opening. A planarization process is then applied to the various layers to remove excess conductive material over the topmost first dielectric layer 203. The planarization process may be a CMP process, an etch-back process, a combination thereof, or the like. The remaining conductive material in the openings forms the bit line 215 and the source line 217.

Next, in fig. 15, an opening 228 is formed in the dielectric layer 211 between each pair of bit lines 215 and source lines 217 by removing a portion of the dielectric layer 211 disposed between each pair of bit lines 215 and source lines 217. The remaining portion of the dielectric layer 211 is used to separate (e.g., electrically isolate) subsequently formed different memory cells 225 (see, e.g., fig. 19B and 19C). The opening 228 extends through the dielectric layer 211 and exposes the underlying ferroelectric film 213. In other words, in the embodiment shown, the opening 228 does not extend through the ferroelectric film 213. In other embodiments, the opening 228 extends through the ferroelectric film 213 and exposes the underlying dielectric layer 201.

Next, in fig. 16, a channel material 219 is formed (e.g., conformally) in the opening 228 lining the sidewalls and bottom of the opening 228. The channel material 219 is formed of a suitable semiconductor material for providing a channel region for the transistor of the FeRAM cell, such as polysilicon, amorphous silicon, or an oxide semiconductor material, such as Indium Gallium Zinc Oxide (IGZO), Indium Tin Oxide (ITO), Indium Gallium Zinc Tin Oxide (IGZTO), zinc oxide (ZnO), or the like. The channel material 219 may be formed by an acceptable deposition process such as ALD, CVD, PVD, and the like.

Next, in fig. 17, an oxide layer 221 is formed (e.g., conformally) in the opening 228 over the channel material 219. In some embodiments, the oxide layer 221 serves as a protective layer for the underlying channel material 219. The oxide layer 221 may be, for example, aluminum oxide or silicon dioxide, and may be formed by a suitable formation method such as CVD, ALD, or the like. In the example of fig. 17, oxide layer 221 lines the sidewalls and bottom of opening 228. In some embodiments, oxide layer 221 is omitted.

Next, in fig. 18, an etching process, such as an anisotropic etching process, is performed to remove horizontal portions of the channel material 219 and horizontal portions (if formed) of the oxide layer 221, such as portions disposed at the bottom of the opening 228 and at the bottom of the topmost first dielectric layer 203. In the illustrated embodiment, after the etching process, the ferroelectric film 213 at the bottom of the opening 228 is also removed, and the dielectric layer 201 is exposed at the bottom of the opening 228. In some embodiments, a planarization process such as CMP may then be performed to remove the remaining portions of the channel material 219 and the oxide layer 221 from the upper surface of the topmost first dielectric layer 203 such that the channel material 219, the oxide layer 221 (if formed), the bit lines 215, the source lines 217, the ferroelectric film 213, the dielectric layer 211, and the topmost first dielectric layer 203 have coplanar upper surfaces.

Next, in fig. 19A, a dielectric material 223 is formed in the opening 228 to fill the opening 228. Suitable dielectric materials include oxides, such as silicon oxide; nitrides, such as silicon nitride; carbides, such as silicon carbide; and the like or combinations thereof such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, and the like. In some embodiments, dielectric material 223 is formed of the same material as oxide layer 221, so there may be no interface between dielectric material 223 and oxide layer 221. For simplicity, subsequent figures may use numeral 223 to denote the combination of oxide layer 221 (if formed) and dielectric material 223 in opening 228. The dielectric material 223 may be formed by an acceptable deposition process such as ALD, CVD, and the like. In some embodiments, silicon oxide or silicon nitride is deposited in the opening 228. A planarization process is then performed to remove excess dielectric material 223 disposed over the topmost first dielectric layer 203. The planarization process may be a CMP process, an etch-back process, a combination thereof, or the like. The remaining dielectric material 223 forms a plurality of dielectric plugs 223 (which may also be referred to as dielectric structures or dielectric pillars) in the openings 228.

Fig. 19B illustrates a top view of a portion of the 3D memory device 200 of fig. 19A. As shown in the top view of fig. 19B, in each trench, the bit line 215 and the source line 217 continuously extend from a first sidewall of the ferroelectric film 213 to a second sidewall of the ferroelectric film 213 facing the first sidewall. The channel material 219 surrounds each dielectric plug 223. In other words, the channel material 219 extends along and contacts the sidewalls of each dielectric plug 223 to form a continuous ring-shaped structure around each dielectric plug 223. In fig. 19B, the channel material 219 contacts the sidewalls of the bit line 215/source line 217 in the vertical direction, and the channel material 219 contacts the sidewalls of the ferroelectric film 213 in the horizontal direction.

The dashed boxes in fig. 19B mark the plurality of memory cells 225. It should be noted that not all memory cells 225 of the 3D memory device 200 are marked by a dashed box in order to avoid aliasing. Each memory cell 225 includes within its boundaries portions of the following structures/layers/materials: a bit line 215, a source line 217, a dielectric plug 223 disposed between the bit line 215 and the source line 217, a channel material 219 surrounding the dielectric plug 223, a ferroelectric film 213, and a word line 210. It should be noted that the word line 210 is not visible in the top view of fig. 19B, but is visible in, for example, fig. 19C, which shows a cross-sectional view of a portion of the 3D memory device 200 along section a-a in fig. 19A. It should be noted that in fig. 19B, only a small portion of the 3D memory device 200 is shown, and only a portion of the word line 210, for example, the conductive material 209, is shown.

As shown in fig. 19B and 19C, each memory cell 225 includes a transistor with a ferroelectric film 213. The word line 210 serves as a gate electrode of a transistor of the memory cell. The bit lines 215 and source lines 217 serve as source/drain regions for the transistors of the memory cells. The channel material 219 serves as the channel layer of the transistor. Fig. 19C shows an example current flow direction 226 in the channel region when the transistor of the memory cell is on. The ferroelectric film 213 is used to store digital information (e.g., bit "1" or "0") stored in the memory cell 225.

In order to perform a write operation on a specific memory cell 225, a write voltage is applied to a portion of the ferroelectric film 213 within the memory cell 225. The write voltage may be applied, for example, by applying a first voltage to the gate electrode (e.g., 210) of memory cell 225 and a second voltage to the source/drain regions (e.g., 215 and 217) of the transistor. The voltage difference between the first voltage and the second voltage sets the polarization direction of the ferroelectric film 213. Depending on the polarization direction of the ferroelectric film 213, the threshold voltage VT of the transistor of the memory cell 225 can be switched from the low threshold voltage VL to the high threshold voltage VH or vice versa. The threshold voltage value (VL or VH) of the transistor may be used to indicate a bit "0" or "1" stored in the memory cell 225.

To perform a read operation on memory cell 225, a read voltage (which is a voltage between a low threshold voltage VL and a high threshold voltage VH) is applied to the gate electrode (e.g., 210) of memory cell 225. Depending on the polarization direction of the ferroelectric film 213 (or the threshold voltage VT of the transistor), the transistor of the memory cell 225 may or may not be turned on. As a result, current may or may not flow between source/drain regions (e.g., 215 and 217) when a voltage is applied between, for example, the source/drain regions (e.g., 215 and 217). The current may be detected to determine the digital bit stored in memory cell 225.

Fig. 19D illustrates a cross-sectional view of a portion of the 3D memory device 200 along section D-D in fig. 19A. Fig. 19E illustrates a cross-sectional view of a portion of the 3D memory device 200 along section B-B in fig. 19A. FIG. 19F illustrates a cross-sectional view of a portion of the 3D memory device 200 along section C-C in FIG. 19A. It is to be noted that, in fig. 19D, a portion of the ferroelectric film 213 under the dielectric layer 211 remains in the formed 3D memory device, and thus, the ferroelectric film 213 in fig. 19D has a U-shaped cross section in each trench. In fig. 19E, a portion of the ferroelectric film 213 under the bit line 215 (or the source line 217) is removed by an anisotropic etching process, and thus, the ferroelectric film 213 in fig. 19E is illustrated as having two parallel sidewall portions in each trench. In fig. 19F, since the anisotropic etching process in fig. 18 removes the ferroelectric film 213 at the bottom of the opening 228, the ferroelectric film 213 does not exist under the dielectric plug 223, and thus, the ferroelectric film 213 in fig. 19F is illustrated as having two parallel sidewall portions in each trench.

In the disclosed embodiment, the channel material 219 is formed after the word lines 210, bit lines 215, and source lines 217 are formed. Accordingly, the disclosed forming method is also referred to as a back channel method for forming a 3D memory device. The back channel approach can avoid the problem of bit line/source line bridging between adjacent memory cells. To appreciate the advantages of the channel-last approach, consider a reference approach (referred to as a channel-first approach) in which a channel material 219 is formed prior to forming the bit line 215 and source line 217. For example, in the channel-first approach, channel material 219 is conformally formed over ferroelectric film 213 before dielectric layer 211 fills the trench, such that channel material 219 is sandwiched between ferroelectric film 213 and dielectric layer 211. Next, in the channel-first approach, the bit line 215 and source line 217 are formed by forming conductive pillars in the dielectric layer 211, and then each conductive pillar is cut into two separate (smaller) conductive pillars by forming a dielectric cutting pattern that bisects each conductive pillar. The dielectric cutting pattern extends continuously between and in contact with the opposing sidewalls of the ferroelectric film 213 in each trench. The dielectric cutting pattern cuts the channel material into different segments belonging to different memory cells. The two smaller conductive pillars of each original conductive pillar form a bit line and an adjacent source line in adjacent memory cells. In the channel-first approach, bridging may occur between bit lines/source lines in adjacent memory cells. For example, the sidewalls of the conductive material 209 may be recessed from the sidewalls of the first dielectric layer 203 due to over-etching in the processing steps of fig. 7 or 12. The ferroelectric film 213 and the channel material 219, which are subsequently (e.g., conformal) formed, will fill these recesses. It may be difficult to remove portions of the channel material 219 in these grooves during subsequent processing for forming the dielectric cut pattern. Thus, the channel material 219 in these recesses may electrically couple the bit/source lines in neighboring memory cells. In contrast, the back channel approach avoids the problem of bit line/source line bridging by forming the channel material 219 after forming the bit line 215 and source line 217.

Other advantages of the method of the present invention include lower contact resistance of the bit line 215 and the source line 217 due to the larger contact area with the channel material 219. In addition, the formation of the channel material 219 is simple and does not require an additional hard mask. Furthermore, since etching the hard mask may require a high temperature process (e.g., above 500 ℃), and since the presently disclosed method does not require etching for forming the hard mask of the channel material 219, thermal impact on the channel material 219 is reduced, thereby improving the electrical properties of the channel material 219.

Additional processing may be performed after the processing of fig. 19A to complete the 3D memory device 200. For example, contact plugs may be formed to electrically couple to the bit lines 215, the source lines 217, and the word lines 210. Any suitable formation method for forming the contact plug may be used. Details are not discussed here.

In another embodiment, fig. 20 and 21 show cross-sectional views of a three-dimensional (3D) ferroelectric random access memory (FeRAM) device 200A at various stages of fabrication. The formation process of the 3D memory device 200A is similar to that of the 3D memory device 200, but the oxide layer 221 is not formed over the channel material 219. In particular, the formation process for the 3D memory device 200A may follow the processes shown in fig. 2 to 16. After the processing of fig. 16, a suitable etching process, such as an anisotropic etching process, is performed to remove portions of the channel material 219 from the bottom of the openings 228, as shown in fig. 20. It should be noted that fig. 20 shows only a portion of the 3D memory device 200A to show details near the bottom of the opening 228. In the example of fig. 20, an anisotropic etch process etches through the ferroelectric thin film 213 to expose the underlying dielectric layer 201.

Next, in fig. 21, a dielectric material 223 is formed to fill the opening 228. The material and method of formation of dielectric material 223 is the same or similar to that discussed above with reference to fig. 19A, and therefore, the details are not repeated.

Fig. 22 shows a top view of a three-dimensional (3D) ferroelectric random access memory (FeRAM) device 200B in yet another embodiment. The 3D memory device 200B is similar to the 3D memory device 200 or 200A, but the memory cells 225 of the 3D memory device 200B in different trenches are staggered such that the memory cells 225 in adjacent trenches (e.g., adjacent columns in fig. 22) are arranged along different rows. In contrast, the memory cells 225 in adjacent columns in fig. 19B are arranged along the same row. It should be noted that the layout of the memory cell 225 in fig. 19B may be used to modify the 3D memory device 200 or the 3D memory device 200A.

In some embodiments, fig. 23 illustrates a method of forming a three-dimensional (3D) ferroelectric random access memory (FeRAM) device. It should be understood that the embodiment method shown in FIG. 23 is merely an example of many possible embodiment methods. One of ordinary skill in the art would recognize many variations, alternatives, and modifications. For example, various steps as shown in fig. 23 may be added, removed, replaced, rearranged, or repeated.

Referring to fig. 23, at block 1010, a layer stack comprising alternating layers of a first dielectric material and a second dielectric material is formed over a substrate. At block 1020, a trench is formed that extends through the layer stack. At block 1030, the second dielectric material is replaced with a conductive material to form a Word Line (WL). At block 1040, the sidewalls and bottom of the trench are lined with a ferroelectric material. At block 1050, the trench is filled with a third dielectric material. At block 1060, Bit Lines (BL) and Source Lines (SL) are formed extending through the third dielectric material. At block 1070, portions of the third dielectric material are removed to form openings in the third dielectric material between the BL and SL. At block 1080, channel material is formed along sidewalls of the opening. At block 1090, the opening is filled with a fourth dielectric material.

Advantages may be realized by the embodiments. For example, by forming the first trench 206 and the second trench 212 in different processing steps, a memory array having a high memory cell density can be formed without the problem of the layer stack 204 collapsing due to a high aspect ratio. The disclosed post-channel process avoids the BL/SL bridging problem, thereby improving device reliability. In addition, because the contact area between the BL/SL and the channel material is larger, lower BL/SL contact resistance is realized, and the device performance is improved. The disclosed back channel process is easy to implement and does not require an additional hard mask. As a result, the thermal influence on the channel material is reduced, thereby improving the electrical properties of the channel material.

According to an embodiment, a method of forming a three-dimensional (3D) memory device includes: forming a layer stack over a substrate, the layer stack comprising alternating layers of a first dielectric material and a second dielectric material; forming a first trench extending through the layer stack, the first trench exposing a first sidewall of the first dielectric material and a second sidewall of the second dielectric material; recessing the second sidewall of the second dielectric material from the first sidewall of the first dielectric material to form a first recess; filling the first recess with a first conductive material to form a Word Line (WL); after filling the first recess, lining sidewalls and a bottom of the first trench with a ferroelectric material; filling the first trench with a third dielectric material after the liner; forming a first Bit Line (BL) and a first Source Line (SL) in the third dielectric material in one of the first trenches, wherein the first BL and the first SL extend vertically through the third dielectric material from a lower surface of the third dielectric material facing the substrate to an upper surface of the third dielectric material remote from the substrate; removing a portion of the third dielectric material disposed between the first BL and the first SL to form a first opening in the third dielectric material; forming a channel material along sidewalls of the first opening; and filling the first opening with a fourth dielectric material.

In some embodiments, filling the first recess comprises: forming a seed layer over exposed surfaces of the first and second dielectric materials; forming the first conductive material over the seed layer, the first conductive material filling the first groove; and performing an anisotropic etching process to remove portions of the seed layer and portions of the first conductive material disposed outside the first grooves. In some embodiments, the method further comprises: after filling the first trench and before forming the first bit line and the first source line: forming a second trench extending through the layer stack, the second trench interleaved with the first trench; recessing the second dielectric material exposed by the second trench to form a second recess; filling the second recess with the first conductive material to form an additional word line; forming the ferroelectric material along sidewalls and a bottom of the second trench after filling the second recess; and filling the second trench with the third dielectric material after forming the ferroelectric material along the sidewalls and the bottom of the second trench. In some embodiments, after filling the second recess, no second dielectric material is laterally disposed between the word line and the additional word line. In some embodiments, the method further comprises forming a second bit line and a second source line in the third dielectric material in one of the second trenches, wherein, in a top view, the first bit line and the first source line are staggered with respect to the second bit line and the second source line. In some embodiments, forming the first bit line and the first source line comprises: forming a second opening in the third dielectric material at a location corresponding to the first bit line, the second opening extending vertically through the third dielectric material; forming a third opening in the third dielectric material at a location corresponding to the first source line, the third opening extending vertically through the third dielectric material; and filling the second opening and the third opening with a second conductive material. In some embodiments, the first opening exposes sidewalls of the first source line, sidewalls of the first bit line, and sidewalls of the ferroelectric material. In some embodiments, after filling the first opening, the channel material surrounds the fourth dielectric material in a top view. In some embodiments, forming the channel material comprises: forming the channel material along the sidewalls and along a bottom of the first opening; and performing an anisotropic etch process to remove portions of the channel material from the bottom of the first opening. In some embodiments, the method further comprises: forming a conformal oxide layer in the first opening over the channel material after forming the channel material and before filling the first opening, wherein performing the anisotropic etch process also removes portions of the conformal oxide layer from the bottom of the first opening. In some embodiments, performing the anisotropic etch process also removes portions of the ferroelectric material from the bottom of the first opening.

According to an embodiment, a method of forming a three-dimensional (3D) memory device includes: forming a layer stack over a substrate, the layer stack comprising alternating layers of a first dielectric material and a second dielectric material; forming a trench extending through the layer stack; replacing the second dielectric material with a conductive material to form Word Lines (WL); lining sidewalls and bottom of the trench with a ferroelectric material; filling the trench with a third dielectric material; forming a Bit Line (BL) and a Source Line (SL) extending vertically through the third dielectric material; removing portions of the third dielectric material to form openings in the third dielectric material between the BL and SL; forming a channel material along sidewalls of the opening; and filling the opening with a fourth dielectric material.

In some embodiments, forming the bit line and the source line comprises: forming recesses extending vertically through the third dielectric material, wherein each of the recesses exposes a first sidewall of the ferroelectric material and a second sidewall of the ferroelectric material facing the first sidewall; and filling the recess with one or more conductive materials. In some embodiments, the bottom of the opening is free of the channel material. In some embodiments, the method further comprises: after forming the channel material and before filling the opening: conformally forming an oxide layer in the opening; and after forming the oxide layer, performing an anisotropic etching process to remove the oxide layer from the bottom of the opening. In some embodiments, performing the anisotropic etch process also removes portions of the ferroelectric material disposed at the bottom of the opening.

According to an embodiment, a semiconductor device includes: a substrate; a layer stack over the substrate, wherein the layer stack comprises a plurality of dielectric layers and a plurality of Word Lines (WLs) interleaved with the plurality of dielectric layers; a dielectric structure embedded in the stack of layers and extending vertically through the stack of layers from a lower surface of the stack of layers facing the substrate to an upper surface of the stack of layers facing away from the substrate; a channel material surrounding and contacting sidewalls of the dielectric structure; a Bit Line (BL) and a Source Line (SL) extending vertically through the layer stack, wherein the BL extends along and is in contact with a first sidewall of the channel material, wherein the SL extends along and is in contact with a second sidewall of the channel material opposite the first sidewall; and a ferroelectric material extending vertically through the layer stack, wherein a first portion of the ferroelectric material extends along and is in contact with a third sidewall of the channel material, wherein a second portion of the ferroelectric material extends along and is in contact with a fourth sidewall of the channel material opposite the third sidewall.

In some embodiments, in a top view, the bit line and source line extend continuously from the first portion of the ferroelectric material to the second portion of the ferroelectric material. In some embodiments, the third portion of the ferroelectric material extends along a major upper surface of the substrate. In some embodiments, the third portion of the ferroelectric material is disposed between the channel material and the substrate.

The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the aspects of the present invention. Those skilled in the art should appreciate that they may readily use the present invention as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

45页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:存储器单元、存储器器件及其形成方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类