Scanning test device and scanning test method

文档序号:1935866 发布日期:2021-12-07 浏览:18次 中文

阅读说明:本技术 扫描测试装置与扫描测试方法 (Scanning test device and scanning test method ) 是由 陈柏霖 于 2020-06-05 设计创作,主要内容包括:本案涉及扫描测试装置与扫描测试方法。该扫描测试装置包含扫描正反器电路与时钟门控电路。扫描正反器电路用以根据一扫描时钟信号接收一扫描输入信号并输出接收到的该扫描输入信号为一测试信号。时钟门控电路用以根据该测试信号中的一预定位与一扫描致能信号选择性地遮蔽该扫描时钟信号,以产生用于测试至少一核心电路的一测试时钟信号。(The present disclosure relates to a scan test apparatus and a scan test method. The scan test device comprises a scan flip-flop circuit and a clock gating circuit. The scan flip-flop circuit is used for receiving a scan input signal according to a scan clock signal and outputting the received scan input signal as a test signal. The clock gating circuit is used for selectively shielding the scanning clock signal according to a predetermined bit in the testing signal and a scanning enabling signal so as to generate a testing clock signal for testing at least one core circuit.)

1. A scan test apparatus, comprising:

a scan flip-flop circuit for receiving a scan input signal according to a scan clock signal and outputting the received scan input signal as a test signal; and

a clock gating circuit for selectively masking the scan clock signal according to a predetermined bit in the test signal and a scan enable signal to generate a test clock signal for testing at least one core circuit.

2. The apparatus of claim 1, wherein the scan flip-flop circuit is configured to receive a test pattern and the predetermined bit in the scan input signal during a shift period of the scan enable signal to output the test signal.

3. The scan test device of claim 2, wherein the predetermined bit is set after the test pattern.

4. The scan test apparatus of claim 1, wherein the clock gating circuit comprises:

an OR gate for outputting a control signal according to the predetermined bit and the scan enable signal; and

a gate control circuit for selectively masking the scan clock signal according to the control signal to generate the test clock signal.

5. The apparatus according to claim 1, wherein the clock gating circuit is configured to selectively mask the scan clock signal according to the predetermined bit during a capture period of the scan enable signal to output the test clock signal.

6. The apparatus of claim 1, wherein the scan flip-flop circuit comprises a first input for receiving a data, a second input for receiving the scan input signal, and an output coupled to the first input for outputting the test signal.

7. The scan test apparatus of claim 1, wherein the scan clock signal has a waveform that is always acquired.

8. A scan test method, comprising:

receiving a scanning input signal according to a scanning clock signal and outputting the received scanning input signal as a test signal; and

the scan clock signal is selectively masked according to a predetermined bit in the test signal and a scan enable signal to generate a test clock signal for testing at least one core circuit.

9. The scan test method of claim 8, wherein receiving the scan input signal according to the scan clock signal and outputting the received scan input signal as the test signal comprises:

receiving a test pattern and the predetermined bit in the scan input signal during a shift period of the scan enable signal to output the test signal.

10. The scan test method of claim 8, wherein selectively masking the scan clock signal according to the predetermined bits in the test signal and the scan enable signal comprises:

selectively masking the scan clock signal according to a masking signal during an acquisition period of the scan enable signal to output the test clock signal.

Technical Field

The present disclosure relates to testing of integrated circuits, and more particularly, to a scan test apparatus and method for sharing a scan clock signal.

Background

In an integrated circuit test, if the number of pins of a circuit under test is insufficient, a plurality of circuits under test may need to share the same scan clock signal. Under such a condition, it is necessary to determine whether there is a timing conflict between circuit behaviors of the plurality of circuits to be tested, which results in a decrease in test efficiency. In addition, if there is a timing conflict between the circuits under test, the number of test patterns (test patterns) needs to be increased to eliminate the conflict. Thus, the test cost increases.

Disclosure of Invention

In some embodiments, the scan test device includes a scan flip-flop circuit and a clock gating (clock gating) circuit. The scan flip-flop circuit is used for receiving a scan input signal according to a scan clock signal and outputting the received scan input signal as a test signal. The clock gating circuit is used for selectively shielding (mask) the scan clock signal according to a predetermined bit in the test signal and a scan enable signal so as to generate a test clock signal for testing at least one core circuit.

In some embodiments, the scan test method comprises the following operations: receiving a scanning input signal according to a scanning clock signal and outputting the received scanning input signal as a test signal; and selectively masking the scan clock signal according to a predetermined bit in the test signal and a scan enable signal to generate a test clock signal for testing at least one core circuit.

The features, practical operations and effects of the present application will be described in detail with reference to the accompanying drawings.

Drawings

FIG. 1 is a schematic diagram illustrating a test system according to some embodiments of the present disclosure;

FIG. 2 is a schematic diagram illustrating the scan test apparatus of FIG. 1 according to some embodiments of the present disclosure;

FIG. 3 is a schematic diagram illustrating a partial connection relationship between the scan test apparatus of FIG. 2 and the scan chain circuit of FIG. 1 and waveforms of the scan input signal, the scan clock signal and the scan enable signal of FIG. 1 and/or FIG. 2 according to some embodiments of the present disclosure; and

fig. 4 is a flow chart illustrating a scan test method according to some embodiments of the present disclosure.

Detailed Description

All words used herein have their ordinary meaning. The definitions of the above-mentioned words in commonly used dictionaries are provided in this specification, and any use of the words discussed herein is meant to be exemplary only, and should not be construed as limiting the scope and meaning of the specification. Likewise, the disclosure is not limited to the various embodiments shown in this specification.

As used herein, a "coupled" or "connected" means that two or more elements are in direct or indirect physical or electrical contact with each other, or that two or more elements are in mutual operation or action. As used herein, the term "circuitry" may be a single system formed by at least one circuit (circuit), and the term "circuitry" may be a device connected by at least one transistor and/or at least one active and passive component in a certain manner to process a signal.

As used herein, the term "and/or" includes any combination of one or more of the associated listed items. The terms first, second, third and the like may be used herein to describe and distinguish various elements. Thus, a first component may also be referred to herein as a second component without departing from the spirit of the disclosure. For ease of understanding, similar components in the various figures will be designated with the same reference numerals.

Fig. 1 is a schematic diagram illustrating a test system 100 according to some embodiments of the present disclosure. The test system 100 includes a tool 120 and a circuit system under test 140. In some embodiments, the tool 120 may be an automatic test pattern generator (automatic test pattern generator). The stage 120 is coupled to the circuit system under test 140. The stage 120 can output the scan input signal SI and the scan clock signal CKS to the circuit system under test 140. The circuit system under test 140 generates a plurality of output results SO in response to the scan input signal SI and the scan clock signal CKS. The machine 120 may obtain the output results SO and analyze the obtained output results SO to determine whether the operation of the circuit in the circuit system under test 140 is correct.

In some embodiments, the circuit under test 140 includes a scan test (scan test) device 141A, a scan test device 141B, and a plurality of core circuits 142 and 143, wherein the core circuit 142 is a first circuit under test and the core circuit 143 is a second circuit under test. The scan test device 141A receives the scan input signal SI according to the scan clock signal CKS, and determines whether to mask the scan clock signal CKS according to a predetermined bit (e.g., bit d [ n ] in FIG. 3) in the scan input signal SI to generate a test clock signal CKT for testing the core circuit 142. Based on the similar operation, the scan test apparatus 141B receives the scan input signal SI according to the scan clock signal CKS, and determines whether to mask the scan clock signal CKS according to a predetermined bit in the scan input signal SI to generate the test clock signal CKT for testing the core circuit 143.

Each of the core circuits 142 and 143 may include at least one functional circuit having a different design. For example, core circuitry 142 includes two sets of scan multiplexer circuitry 144 and scan chain circuitry 145, where a first set of circuitry is used to test a first functional circuit (not shown) and a second set of circuitry is used to test a second functional circuit (not shown). In response to the scan mode signal SM having the first logic value, the scan multiplexer circuit 144 outputs a functional clock signal to the subsequent circuits to cause the core circuit 142 and the core circuit 143 to perform a predetermined operation. It should be understood that the functional clock signal may be different for different circuit designs. For example, in core circuit 142, scan multiplexer circuit 144 in the first set of circuits receives functional clock signal FCK1, and scan multiplexer circuit 144 in the second set of circuits receives functional clock signal FCK 2. In response to the scan mode signal SM having the second logic value, the scan multiplexer circuit 144 outputs the test clock signal CKT to the subsequent circuits to cause the core circuit 142 to enter the scan test. Under this condition, the scan chain circuit 145 generates the output result SO according to the scan-in signal SI and the test clock signal CKT, SO that the machine 120 can verify whether the operation of the core circuit 142 is correct. In some embodiments, scan test device 141A may transmit scan-in signal SI to each scan chain circuit 145 in core circuitry 142.

The core circuit 143 is coupled to the stage 120 for receiving the scan clock signal CKS and another scan input signal SI. Similar to the core circuit 142, the core circuit 143 includes a set of scan multiplexer circuits 144 and scan chain circuits 145 for scan testing, which are used to test a third functional circuit (not shown). Based on the similar operations described above, the scan multiplexer circuit 144 selectively outputs the test clock signal CKT or the functional clock signal FCK3 to the subsequent circuits according to the scan mode signal SM, so as to enable the core circuit 143 to perform the predetermined operation or enter the scan test. In some embodiments, scan test device 141B may transmit scan-in signal SI to each scan chain circuit 145 in core circuitry 143.

The number of core circuits in fig. 1 is for illustration only, and the present disclosure is not limited thereto. In practical applications, the number of core circuits to be tested may be one or more. In some embodiments, the test system 100 may be adapted for core wrapper based test flows (core wrapper flows). The above-mentioned arrangement of the machine 120, the core circuit 142 and the core circuit 143 is used for illustration, and the disclosure is not limited thereto. For example, in other applications, the scan test apparatus 141A may transmit the scan-in signal SI to the scan test apparatus 141B according to different configurations of the scan chain circuit.

In some related technologies, if the number of pins for testing the circuit under test is too small, a plurality of circuits under test need to share the same scan clock signal. In this case, the machine needs to determine whether there is a conflict in timing (clocking) of each circuit under test before generating the scan input signal. This results in a lower test efficiency. In addition, if the timings of the circuits to be tested conflict, the machine needs to stagger the timings of the circuits to be tested to avoid the conflict. Thus, the number of test patterns (test patterns) in the scan input signal is too large, and the test cost is increased. In contrast to the above-mentioned techniques, as shown in the following embodiments, by providing the scan test apparatus 141A and the scan test apparatus 141B, the stage 120 can set a predetermined bit in the scan input signal SI to flexibly set the timing of each circuit under test. Thus, excessive increase in test cost can be avoided.

Fig. 2 is a schematic diagram illustrating the scan test apparatus 141A of fig. 1 according to some embodiments of the disclosure. The scan test apparatus 141A includes a scan flip-flop circuit 210 and a clock gating circuit 220. The scan flip-flop circuit 210 receives the scan input signal SI according to the scan clock signal CKS and outputs the received scan input signal SI as the test signal ST. For example, scan flip-flop circuit 210 may comprise multiplexer 212 and D-type flip-flop 214. The multiplexer 212 includes a first input terminal for receiving the general data DIN and a second input terminal for receiving the scan-in signal SI. The multiplexer 212 outputs the normal data DIN or the scan-in signal SI as the input signal SIN according to the scan enable signal SEN. When the scan enable signal SEN is at the first logic value, the multiplexer 212 outputs the normal data DIN as the input signal SIN. Alternatively, when the scan enable signal SEN is the second logic value, the multiplexer 212 outputs the scan-in signal SI as the input signal SIN. Under this condition, the scan test apparatus 141A operates in the scan test mode. The D-type flip-flop 214 is coupled to the multiplexer 212, and outputs the received input signal SIN as the test signal ST according to the scan clock signal CKS. In addition, a first input of the multiplexer 212 for receiving the general data DIN is coupled to an output of the D-type flip-flop 214. With the above arrangement, the signal value of the test signal ST can be kept constant during acquisition (as shown in fig. 3 described later). The above embodiments of the scan flip-flop circuit 210 are only examples, and the disclosure is not limited thereto.

The clock gating circuit 220 selectively masks (mask) the scan clock signal CKS according to a predetermined bit d [ n ] in the test signal ST and the scan enable signal SEN to generate the test clock signal CKT. For example, the clock gating circuit 220 may include an OR gate 222 to gate the gating circuit 224. OR gate 222 generates control signal VC according to bit d [ n ] in test signal ST and scan enable signal SEN. When the scan enable signal SEN is logic 1, the control signal VC is also fixed to logic 1. Thus, the gate control circuit 224 will not shield the scan clock signal CKS. When the scan enable signal SEN is logic 0, the OR gate 222 determines the control signal VC according to the logic value of the bit d [ n ]. Thus, the gate control circuit 224 selectively masks the scan clock signal CKS according to the control signal VC to generate the test clock signal CKT. In some embodiments, the gate control circuit 224 may be implemented by an integrated clock gating cell (IC). The above embodiments of the clock gating circuit 220 are only examples, and the disclosure is not limited thereto. In some embodiments, the stage 120 may add the predetermined bit d [ n ] to the scan input signal SI to set the timing of the core circuits 142 and 143.

Fig. 3 is a schematic diagram illustrating a connection relationship between the scan flip-flop circuit 210 of fig. 2 and the scan chain circuit 145 of fig. 1 and waveforms of the scan input signal SI, the scan clock signal CKS, and the scan enable signal SEN of fig. 1 and/or fig. 2 according to some embodiments of the disclosure.

In some embodiments, as shown in fig. 3 (or fig. 1), the aforementioned core circuit 142 and core circuit 143 each include scan chain circuit 145. In this example, the scan chain circuit 145 of the core circuit 142 includes a 4-stage scan flip-flop circuit SFF connected in series, and the scan chain circuit 145 of the core circuit 143 includes a 1-stage dummy (dummy) scan flip-flop circuit SFF and a 3-stage scan flip-flop circuit SFF connected in series. In other words, each scan chain circuit 145 is set to shift 4 times. The scan chain circuit 145 also receives the test clock signal CKT and generates an output result SO according to the test clock signal CKT and the scan-in signal SI. In some embodiments, as shown in FIG. 1, scan flip-flop circuit 210 may be coupled to scan flip-flop circuit SFF of stage 1 of each scan chain circuit 145 to transmit scan-in signal SI.

During the shift phase of the scan enable signal SEN, the scan enable signal SEN has a level corresponding to a logic value 1. During the shift, the circuit system under test 140 operates in a scan test mode, and the scan chain circuit 145 receives the scan input signal SI. During the capture period (capture phase) of the scan enable signal SEN, the scan enable signal SEN has a level corresponding to a logic value 0. During acquisition, scan chain circuit 145 generates output result SO in response to the circuit behavior of the corresponding core circuit.

During the shift, the scan-in signal SI includes a test pattern TP (e.g., sequentially includes a bit D)n-3Position Dn-2Position Dn-1Position Dn) And prepositioning d [ n ]]. Multiple pulses of the scan clock signal CKS during the shift period are used to trigger the scan chain circuit 145 to shift for sequentially reading the bits Dn-3Position Dn-2Position Dn-1Position DnAnd prepositioning d [ n ]]. In this example, d [ n ] is pre-positioned]Is set to be after the test pattern TP and is set to be the 5 th bit in the shift period, but the present disclosure is not limited thereto. In some embodiments, d [ n ] is pre-positioned]May be any bit in the scan-in signal SI. In the acquisition period, the scan clock signal CKS has at least one pulse (i.e., a waveform set to always-acquire).

In this example, it is assumed that the circuit behavior of core circuit 142 requires timing during acquisition and the circuit behavior of core circuit 143 does not require timing during acquisition. In the first case, the stage 120 sets the predetermined bit d [ n ] to a logic value of 1. At the end of the shift period, scan flip-flop circuit 210 will latch a predetermined bit d [ n ] having a logic value of 1. In response to the predetermined bit d [ n ], the clock gating circuit 220 does not mask the pulse of the scan clock signal CKS during the acquisition period to generate the test clock signal CKT. In this way, the tool 120 may obtain the output result SO for verifying the core circuit 142. Alternatively, in the second case, the stage 120 sets the predetermined bit d [ n ] to a logic value of 0. At the end of the shift period, scan flip-flop circuit 210 will latch a predetermined bit d [ n ] having a logic value of 0. In response to the predetermined bit d [ n ], the clock gating circuit 220 masks the pulse of the scan clock signal CKS during the capture period to generate the test clock signal CKT. In this way, the tool 120 can obtain the output result SO for verifying the core circuit 143.

Through the above mechanism, the stage 120 can output the scan clock signal CKS having the waveform always obtained, and set the timing of each circuit to be tested through the predetermined bit d [ n ]. Therefore, the increase of the test cost can be avoided, and the flexibility of the scanning test is improved.

The above-described connection of scan flip-flop circuit 210 to scan chain circuit 145 and the bit positions of predetermined bit d [ n ] are used as examples. The connection between scan flip-flop circuit 210 and scan chain circuit 145 and the bit position of predetermined bit dn can be adjusted accordingly according to different applications or test requirements. In some embodiments, the bit (and/or predetermined bit) may be a data value corresponding to a predetermined form of digital data.

Fig. 4 is a flow diagram illustrating a scan test method 400 according to some embodiments of the disclosure. In operation S410, a scan input signal is received according to a scan clock signal and the received scan input signal is output as a test signal. In operation S420, the scan clock signal is selectively masked according to predetermined bits in the test signal and the scan enable signal to generate a test clock signal for testing at least one core circuit.

The above operations S410 and S420 can refer to the above embodiments, and thus are not repeated. The operations of the scan test method 400 are merely exemplary and need not be performed in the order shown in this example. The various operations under the scan test method 400 may be added, substituted, omitted, or performed in a different order as appropriate without departing from the manner and scope of operation of the embodiments herein.

In summary, the scan test apparatus and the scan test method provided in some embodiments of the present disclosure use a set of small-area control circuits and pre-positioning to set the timing of each circuit to be tested. Therefore, the testing method can test a plurality of different circuits to be tested more flexibly and efficiently without excessively increasing the testing cost.

Although the embodiments of the present invention have been described above, these embodiments are not intended to limit the present invention, and those skilled in the art can apply variations to the technical features of the present invention according to the explicit or implicit contents of the present invention, and such variations may fall within the scope of the patent protection sought by the present invention.

[ notation ] to show

100: test system

120: machine table

140: circuit system to be tested

141A, 141B: scanning test device

142, 143: core circuit

144: scanning multiplexer circuit

145: scan chain circuit

CKS: scanning clock signal

CKT: testing clock signals

FCK1, FCK2, FCK 3: functional clock signal

SM: scanning mode signal

SO: outputting the result

210: scanning flip-flop circuit

212: multi-task device

214: d type flip-flop

220: clock gating circuit

222: OR gate

224: gate control circuit

DIN: general data

Dn-3、Dn-2、Dn-1、Dn: bit

d [ n ]: pre-positioning

SEN: scan enable signal

SIN: input signal

ST: test signal

VC: control signal

SFF: scanning flip-flop circuit

TP: test sample

400: scanning test method

S410 and S420: and (5) operating.

11页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种轴角类芯片自动测试系统的实现方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类