Metal removing method, dry etching method and method for manufacturing semiconductor element

文档序号:327905 发布日期:2021-11-30 浏览:11次 中文

阅读说明:本技术 金属除去方法、干蚀刻方法和半导体元件的制造方法 (Metal removing method, dry etching method and method for manufacturing semiconductor element ) 是由 松井一真 于 2020-04-17 设计创作,主要内容包括:提供能够以低成本实施的金属除去方法。金属除去方法具备反应工序和挥发工序,反应工序使含有含氟的卤间化合物的处理气体与含有金属元素的含金属材料接触,生成含氟的卤间化合物与金属元素的反应生成物即金属氟化物,挥发工序在惰性气体气氛下或真空环境下加热金属氟化物从而使其挥发。金属元素是选自铁、钴、镍、硒、钼、铑、钯、钨、铼、铱和铂中的至少一种。(Provided is a metal removal method which can be performed at low cost. The metal removal method includes a reaction step of bringing a treatment gas containing a fluorine-containing interhalogen compound into contact with a metal-containing material containing a metal element to generate a metal fluoride as a reaction product of the fluorine-containing interhalogen compound and the metal element, and a volatilization step of heating the metal fluoride in an inert gas atmosphere or a vacuum atmosphere to volatilize the metal fluoride. The metal element is at least one selected from the group consisting of iron, cobalt, nickel, selenium, molybdenum, rhodium, palladium, tungsten, rhenium, iridium, and platinum.)

1. A metal removing method comprising a reaction step and a volatilization step,

the reaction step of bringing a treatment gas containing a fluorine-containing interhalogen compound into contact with a metal-containing material containing a metal element to thereby produce a metal fluoride which is a reaction product of the fluorine-containing interhalogen compound and the metal element,

the volatilizing step is to volatilize the metal fluoride by heating the metal fluoride in an inert gas atmosphere or a vacuum atmosphere,

the metal element is at least one selected from the group consisting of iron, cobalt, nickel, selenium, molybdenum, rhodium, palladium, tungsten, rhenium, iridium, and platinum.

2. The metal removal method according to claim 1, wherein the fluorine-containing interhalogen compound is at least one selected from the group consisting of chlorine monofluoride, bromine monofluoride, chlorine trifluoride, chlorine pentafluoride, bromine pentafluoride and iodine heptafluoride.

3. The metal removal method according to claim 1 or 2, wherein the treatment gas contains at least one inert gas selected from the group consisting of nitrogen, helium, neon, argon, krypton, and xenon.

4. The metal removing method according to any one of claims 1 to 3, wherein a reaction temperature of the fluorine-containing interhalogen compound and the metal element in the reaction step is 0 ℃ or higher and 100 ℃ or lower.

5. The metal removing method according to any one of claims 1 to 4, wherein the heating temperature of the metal fluoride in the volatilizing step is higher than the reaction temperature of the fluorine-containing interhalogen compound and the metal element in the reacting step.

6. The metal removing method according to claim 5, wherein the heating temperature of the metal fluoride in the volatilization step is 50 ℃ or higher and 400 ℃ or lower.

7. The metal removing method according to any one of claims 1 to 6, wherein the process gas is brought into contact with a silicon-containing material containing at least one of silicon oxide and silicon nitride and the metal-containing material in the reaction step.

8. A dry etching method using the metal removing method according to any one of claims 1 to 7.

9. A method for manufacturing a semiconductor element, comprising a dry etching step of removing at least a part of a metal-containing layer from a semiconductor substrate having the metal-containing layer by the dry etching method according to claim 8, wherein the metal-containing layer contains at least one metal element selected from the group consisting of iron, cobalt, nickel, selenium, molybdenum, rhodium, palladium, tungsten, rhenium, iridium, and platinum.

Technical Field

The invention relates to a metal removing method, a dry etching method and a method for manufacturing a semiconductor element.

Background

As a magnetic material or a wiring material constituting a semiconductor element such as a nonvolatile memory element, a transition metal element such as iron (Fe), cobalt (Co), nickel (Ni), selenium (Se), molybdenum (Mo), rhodium (Rh), palladium (Pd), tungsten (W), rhenium (Re), iridium (Ir), or platinum (Pt) may be used. In a manufacturing process of a semiconductor device, when a metal thin film on a substrate is etched to form a wiring, a sputtering method or a wet etching method is sometimes used.

Documents of the prior art

Patent document 1: japanese patent laid-open publication No. 2004 No. 228487

Disclosure of Invention

However, in the sputtering method or the wet etching method, even a portion of the semiconductor element which should not be etched is etched, and the characteristics of the semiconductor element may be lost.

Patent document 1 discloses a method of dry etching a metal thin film on a substrate using an etching gas excited by plasma, but this method has a problem of high cost in the etching method using plasma.

The invention provides a metal removing method, a dry etching method and a method for manufacturing a semiconductor element, which can be implemented at low cost.

To solve the above problems, one embodiment of the present invention is as shown in the following [1] to [9 ].

[1] A metal removing method comprising a reaction step and a volatilization step,

the reaction step of bringing a treatment gas containing a fluorine-containing interhalogen compound into contact with a metal-containing material containing a metal element to thereby produce a metal fluoride which is a reaction product of the fluorine-containing interhalogen compound and the metal element,

the volatilizing step is to volatilize the metal fluoride by heating the metal fluoride in an inert gas atmosphere or a vacuum atmosphere,

the metal element is at least one selected from the group consisting of iron, cobalt, nickel, selenium, molybdenum, rhodium, palladium, tungsten, rhenium, iridium, and platinum.

[2] The metal removal method according to [1], wherein the fluorine-containing interhalogen compound is at least one selected from the group consisting of chlorine monofluoride, bromine monofluoride, chlorine trifluoride, chlorine pentafluoride, bromine pentafluoride and iodine heptafluoride.

[3] The metal removal method according to [1] or [2], wherein the treatment gas contains at least one inert gas selected from the group consisting of nitrogen, helium, neon, argon, krypton, and xenon.

[4] The metal removal method according to any one of [1] to [3], wherein a reaction temperature of the fluorine-containing interhalogen compound and the metal element in the reaction step is 0 ℃ or more and 100 ℃ or less.

[5] The metal removing method according to any one of [1] to [4], wherein a heating temperature of the metal fluoride in the volatilization step is higher than a reaction temperature of the fluorine-containing interhalogen compound and the metal element in the reaction step.

[6] The method for removing a metal according to [5], wherein the heating temperature of the metal fluoride in the volatilization step is 50 ℃ or higher and 400 ℃ or lower.

[7] The metal removing method according to any one of [1] to [6], wherein the treatment gas is brought into contact with a silicon-containing material containing at least one of silicon oxide and silicon nitride and the metal-containing material in the reaction step.

[8] A dry etching method using the metal removal method according to any one of [1] to [7 ].

[9] A method for manufacturing a semiconductor element, comprising a dry etching step of removing at least a part of a metal-containing layer from a semiconductor substrate having the metal-containing layer by the dry etching method according to [8], wherein the metal-containing layer contains at least one metal element selected from the group consisting of iron, cobalt, nickel, selenium, molybdenum, rhodium, palladium, tungsten, rhenium, iridium, and platinum.

According to the present invention, metal removal and dry etching can be performed at low cost.

Drawings

FIG. 1 is a schematic diagram showing the structure of a reaction apparatus used in examples, comparative examples and reference examples.

FIG. 2 is a diagram illustrating samples used in examples and the like.

Detailed Description

Hereinafter, an embodiment of the present invention will be described. The present embodiment is an embodiment showing an example of the present invention, and the present invention is not limited to the present embodiment. Various modifications and improvements may be made to the present embodiment, and such modifications and improvements are also encompassed by the present invention.

As a result of various studies to solve the above problems, the present inventors have found that a metal-containing material containing a metal element can be removed by bringing a fluorine-containing interhalogen compound into contact with a metal element such as W and/or Ir to produce a metal fluoride which is a reaction product of the metal element and the fluorine-containing interhalogen compound, and then heating the metal fluoride under an environment such as reduced pressure to volatilize the metal fluoride, thereby completing the present invention.

That is, the metal removing method according to an embodiment of the present invention includes a reaction step of bringing a treatment gas containing a fluorine-containing interhalogen compound into contact with a metal-containing material containing a metal element to generate a metal fluoride as a reaction product of the fluorine-containing interhalogen compound and the metal element, and a volatilization step of heating the metal fluoride in an inert gas atmosphere or a vacuum atmosphere to volatilize the metal fluoride. The metal element contained in the metal-containing material is at least one selected from the group consisting of iron, cobalt, nickel, selenium, molybdenum, rhodium, palladium, tungsten, rhenium, iridium, and platinum. In the metal removal method of the present embodiment, the metal can be removed by alternately repeating the reaction step and the volatilization step. Alternatively, the reaction step and the volatilization step may be performed simultaneously.

In the reaction step, a fluorine-containing interhalogen compound (e.g., BrF) in the process gas5) Reacts with a metal element contained in the metal-containing material to form a halogen-containing metal complex (e.g., [ BrF ]4][MF6]. Wherein M is a metal element. ) Metal fluoride ofThus producing a significant increase in mass in the metal-containing material. Such a metal fluoride has a higher vapor pressure than a simple substance, an oxide, a nitride, or the like of a metal element, and is volatilized and removed by heating in the volatilization step.

According to the metal removal method of the present embodiment, since it is not necessary to bring the fluorine-containing interhalogen compound into a plasma excited state, the metal removal can be performed at low cost, and corrosion of the reaction vessel, the piping, and the like used in the metal removal method of the present embodiment and the dry etching method of the present embodiment described later is less likely to occur.

In addition, according to the metal removal method of the present embodiment, even stable metals such as iron, cobalt, nickel, selenium, molybdenum, rhodium, palladium, tungsten, rhenium, iridium, and platinum can be easily removed.

Further, if the metal removing method of the present embodiment is used, metal deposits can be removed from members and devices and cleaned. For example, in the case where a metal deposit containing the metal element is deposited on the inner surface of a chamber (for example, a chamber constituting a semiconductor manufacturing apparatus) in which a reaction involving the metal element such as Ir or W is performed, if the metal removal method of the present embodiment is performed in the chamber, the metal deposit deposited on the inner surface of the chamber can be removed (cleaned) at low cost. For example, the cleaning may be performed as a step subsequent to a step of forming a metal-containing material containing the metal element on a semiconductor substrate to form a metal-containing layer or as a step subsequent to a step of etching the metal-containing layer, as long as the chamber constitutes a semiconductor manufacturing apparatus.

Further, dry etching can be performed by the metal removal method of the present embodiment. That is, the dry etching method according to another embodiment of the present invention is a dry etching method for performing metal etching using the metal removal method. The dry etching method of the present embodiment does not require the use of plasma, and therefore can perform etching at low cost.

Further, by the dry etching method of the present embodiment, a semiconductor element can be manufactured. That is, a method for manufacturing a semiconductor element according to another embodiment of the present invention includes a dry etching step of removing at least a part of a metal-containing layer containing at least 1 metal element selected from the group consisting of iron, cobalt, nickel, selenium, molybdenum, rhodium, palladium, tungsten, rhenium, iridium, and platinum from a semiconductor substrate having the metal-containing layer by the above dry etching method.

When the metal-containing layer is formed on the semiconductor substrate, and after a mask having a predetermined pattern is formed on the metal-containing layer, etching is performed by the dry etching method, a part of the metal-containing layer is removed from the semiconductor substrate, and the pattern is transferred onto the metal-containing layer, whereby wiring and the like can be formed on the semiconductor substrate.

According to the method for manufacturing a semiconductor element of the present embodiment, since plasma does not need to be used, the semiconductor element can be manufactured at low cost. In addition, in the case of using the wet etching method, there is a problem that even a portion of the semiconductor element which should not be etched originally is etched, and the characteristics of the semiconductor element may be lost.

The metal removal method of the present embodiment will be described in more detail below.

The metal-containing material containing a metal element may be a simple metal of each of the above metal elements, may be a compound of each of the above metal elements (for example, a metal oxide, a metal nitride, a metal halide, or a metal salt), or may be an alloy of 2 or more metal elements among the above metal elements.

The metal-containing material containing a metal element may be composed of only the above-described simple substance metal, compound, or alloy, or may contain other components. That is, a mixture of at least one of the above-described simple substance metals, compounds, and alloys and other components may be used. Examples of the mixture include a composition comprising an alloy of each of the above metal elements and another metal element, at least one of the above simple substance metals, compounds, and alloys, and other components. In the mixture, the composition ratio of the simple substance metal, the compound, and the alloy is preferably 30% by mass or more, and more preferably 50% by mass or more.

The shape of the metal-containing material containing the metal element is not particularly limited, and may be a film, a foil, a powder, or a block.

The kind of the fluorine-containing interhalogen compound is not particularly limited, and chlorine monofluoride (ClF), bromine monofluoride (BrF), and chlorine trifluoride (ClF) can be used3) Iodine trifluoride (IF)3) Chlorine pentafluoride (ClF)5) Bromine pentafluoride (BrF)5) And iodine heptafluoride (IF)7) At least one of (1). Among the fluorine-containing interhalogen compounds, bromine pentafluoride and iodine heptafluoride are more preferable.

The reaction temperature of the fluorine-containing interhalogen compound and the metal element in the reaction step must be a temperature at which the fluorine-containing interhalogen compound contained in the process gas can exist in a gaseous state (a temperature equal to or higher than the boiling point of the fluorine-containing interhalogen compound). The reaction temperature of the fluorine-containing interhalogen compound and the metal element in the reaction step is preferably 0 ℃ or higher and 100 ℃ or lower, more preferably 10 ℃ or higher and 80 ℃ or lower, and still more preferably 15 ℃ or higher and 50 ℃ or lower.

When the reaction temperature is in the above range, the reaction rate of the reaction between the fluorine-containing interhalogen compound and the metal element tends to be sufficiently high, and the reaction between the fluorine-containing interhalogen compound and a substance other than the metal element (for example, a substance that should not react originally) is less likely to occur.

When fluorine gas is used, a metal fluoride having high volatility can be generated and removed by the reaction of the fluorine gas with the metal element, but when plasma is not used, a high temperature of 150 ℃ or higher is required for the reaction of the fluorine gas with the metal element. Under such high temperature conditions, the fluorine gas may react with silicon, silicon oxide, or the like (corresponding to the above-mentioned "substance that should not react originally"), and therefore it is difficult to apply the metal removal method and the dry etching method of the present embodiment to the manufacturing process of the semiconductor element.

The process gas used in the reaction step may be a mixed gas containing only a fluorine-containing interhalogen compound or other gases. When the process gas is a mixed gas, the concentration of the fluorine-containing interhalogen compound in the process gas is preferably 1 vol% or more, more preferably 5 vol% or more, and still more preferably 10 vol% or more, in order to obtain a sufficient reaction rate.

As another gas used when the process gas is a mixed gas, a gas selected from nitrogen (N) gas can be used2) At least one inert gas of helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe). The concentration of the inert gas in the process gas may be, for example, in the range of 0 vol% or more and 90 vol% or less.

The pressure of the atmosphere in the reaction step is not particularly limited, and may be, for example, 0.1kPa to 101.3 kPa. The flow rate of the process gas may be appropriately determined within a range in which the atmospheric pressure is maintained, depending on the size of the reaction vessel and the capacity of a vacuum exhaust device for reducing the pressure in the reaction vessel.

The volatilization process is performed by heating the metal fluoride in an inert gas atmosphere or a vacuum atmosphere.

In order to rapidly volatilize the metal fluoride, the heating temperature of the metal fluoride in the volatilization step is preferably higher than the reaction temperature of the fluorine-containing interhalogen compound and the metal element in the reaction step. For example, the heating temperature of the metal fluoride in the volatilization step is preferably higher by 5 ℃ or more, more preferably higher by 10 ℃ or more, and still more preferably higher by 20 ℃ or more than the reaction temperature of the fluorine-containing interhalogen compound and the metal element in the reaction step.

The heating temperature of the metal fluoride in the volatilization step is not particularly limited as long as the metal fluoride can be volatilized, and is preferably 40 ℃ to 500 ℃, more preferably 45 ℃ to 400 ℃, still more preferably 50 ℃ to 400 ℃, and still more preferably 50 ℃ to 350 ℃.

If the heating temperature of the metal fluoride in the volatilization step is within the above range, the volatilization rate of the metal fluoride tends to be sufficiently high, and the time and energy required for volatilization of the metal fluoride also tend to be excessively large.

As the inert gas, for example, at least one selected from nitrogen, helium, neon, argon, krypton, and xenon can be used. The inert gas atmosphere is preferably an atmosphere in which an inert gas is circulated at a pressure of 0.1kPa or more and 101.3kPa or less. The vacuum atmosphere in the volatilization step is preferably an atmosphere reduced in pressure to 0.1Pa to 100 Pa.

Further, BrF is used5Typical fluorine-containing interhalogen compounds do not substantially react with silicon oxide or silicon nitride at a temperature of 100 ℃ or lower and/or in an unexcited state. Therefore, when the process gas is brought into contact with both the silicon-containing material containing at least one of silicon oxide and silicon nitride and the metal-containing material containing the metal element in the reaction step, the silicon-containing material containing at least one of silicon oxide and silicon nitride is not substantially removed, and the metal-containing material containing the metal element is selectively removed.

Therefore, if the semiconductor substrate having the metal-containing layer containing the metal element and the silicon-containing layer containing at least one of silicon oxide and silicon nitride is subjected to dry etching by the metal removal method of the present embodiment, the silicon-containing layer is not substantially etched, and at least a part of the metal-containing layer can be etched to manufacture a semiconductor device.

Examples

The present invention will be described in more detail below with reference to examples, comparative examples and reference examples. First, the structure of the reaction apparatus 1 used in the examples, comparative examples and reference examples will be described with reference to fig. 1.

The reaction apparatus 1 of fig. 1 includes a chamber 3 for performing a reaction, and a stage 5 for placing a sample 7 is provided in the chamber 3. The chamber 3 includes a gas inlet 11, a gas outlet 13, a pressure reducing device (not shown), and a pressure gauge 15, the gas inlet 11 introduces a process gas (hereinafter, a gas that reacts with a metal in the process gas is sometimes referred to as an "etching gas") into the chamber 3, the gas outlet 13 discharges the process gas and a metal fluoride from the chamber 3, the pressure reducing device reduces the pressure in the chamber 3, and the pressure gauge 15 measures the pressure in the chamber 3.

The stage 5 functions as a heating device, and can heat the sample 7 on the stage 5 to a desired temperature. The reaction apparatus 1 further includes a heating device (not shown) for heating the outer wall of the chamber 3, and the temperature in the chamber 3 can be controlled to a desired temperature.

When the sample 7 is placed on the stage 5, heated by at least one of the stage 5 and the heating device, and the process gas is introduced into the chamber 3 from the gas inlet 11, the process gas contacts the sample 7 under a predetermined temperature condition, and the metal of the sample 7 reacts with the process gas. Thereafter, in order to volatilize the metal fluoride generated in the reaction, the inside of the chamber 3 is reset to a predetermined temperature, and the gas containing the metal fluoride is discharged from the gas discharge port 13 to the outside of the chamber 3 and removed. In the process of removing the metal fluoride, the process gas may be introduced into the chamber 3 from the gas inlet 11, and the gas outlet 13 may be opened to discharge the process gas and/or the gas containing the metal fluoride to the outside of the chamber 3.

The reaction apparatus 1 can be used to perform dry etching of a metal using the process gas as an etching gas. By dry etching of a metal using the reaction apparatus 1, a wiring can be formed by etching a metal thin film (metal-containing layer) on a semiconductor substrate having a silicon-containing material, so that the reaction apparatus 1 can be used for manufacturing a semiconductor element.

Next, the metal removing treatment of examples, comparative examples and reference examples performed using the reaction apparatus 1 will be described.

[ example 1-1]

Ir powder (made of ancient house metal, Ltd., average particle size 0.3 μm, purity 99.9%) as sample 7 was placed on stage 5, and sample 7 was heated to 30 ℃ by stage 5. BrF to be used as processing gas5Introduced from a gas inlet 11 to an internal volume of 2500cm3And is discharged from the gas discharge port 13 to the outside of the chamber 3, thereby allowing the process gas to flow through the chamber 3 (reaction step). The process gas was flowed at a flow rate of 100sccm for 10 minutes. The pressure in the chamber 3 was 101 kPa. Here, sccm is at 0 ℃ and 1 atmosphereNormalized volume flow rate per 1 minute (cm) under the conditions3)。

After the flow of the processing gas is completed, the pressure in the chamber 3 is reduced to 100Pa or less, and the sample 7 is heated to 100 ℃ by the stage 5 (volatilization step). After heating the sample 7 for 30 minutes, the inside of the chamber 3 was replaced with nitrogen gas, and the sample 7 was taken out and the mass of the sample 7 was measured. Then, the mass reduction rate of sample 7 was calculated from the following equation. The results are shown in Table 1.

Mass reduction rate (%) ([ mass of sample 7 before metal removal treatment ] - [ mass of sample 7 after metal removal treatment ])/[ mass of sample 7 before metal removal treatment ]

The average particle diameter of the powder such as Ir powder is determined on a volume basis by a laser diffraction/scattering particle size distribution measuring device particle LA-960 manufactured by horiba, Ltd.

TABLE 1

[ examples 1-2]

Setting etching gas to IF7Except for this, the metal removal treatment was performed in the same manner as in example 1-1, and the mass reduction rate was calculated. The results are shown in Table 1.

[ examples 1 to 3]

The reaction temperature in the reaction step was set to 0 ℃ and the pressure in the chamber 3 was set to 10 ℃-2Except for the MPa, the metal removal treatment was performed in the same manner as in example 1-1 to calculate the mass reduction rate. The results are shown in Table 1.

[ examples 1 to 4]

The metal removal treatment was performed in the same manner as in example 1-2 except that the reaction temperature in the reaction step was set to 0 ℃. The results are shown in Table 1.

[ examples 1 to 5]

The etching gas and flow rate were set to BrF5The metal removal treatment was performed in the same manner as in example 1-1 except that the amount of Ar/50 sccm was changed to 50sccm/50sccm, and the mass reduction rate was calculated. Will be provided withThe results are shown in Table 1.

[ examples 1 to 6]

The etching gas and flow rate were set to BrF5The metal removal treatment was performed in the same manner as in example 1-1 except that He/He was changed to 50sccm/50sccm, and the mass reduction rate was calculated. The results are shown in Table 1.

[ examples 1 to 7]

The etching gas and flow rate were set to BrF5/N2The metal removal treatment was performed in the same manner as in example 1-1 except that the flow rate was changed to 50sccm/50sccm, and the mass reduction rate was calculated. The results are shown in Table 1.

[ examples 1 to 8]

The etching gas and flow rate were set to BrF5/N2The metal removal treatment was performed in the same manner as in example 1-1 except that the flow rate was changed to 30sccm/70sccm, and the mass reduction rate was calculated. The results are shown in Table 1.

[ examples 1 to 9]

The etching gas and flow rate are set to IF7The metal removal treatment was performed in the same manner as in example 1-1 except that the amount of Ar/50 sccm was changed to 50sccm/50sccm, and the mass reduction rate was calculated. The results are shown in Table 1.

[ examples 1 to 10]

The etching gas and flow rate are set to IF7The metal removal treatment was performed in the same manner as in example 1-1 except that He/He was changed to 50sccm/50sccm, and the mass reduction rate was calculated. The results are shown in Table 1.

[ examples 1 to 11]

The etching gas and flow rate are set to IF7/N2The metal removal treatment was performed in the same manner as in example 1-1 except that the flow rate was changed to 50sccm/50sccm, and the mass reduction rate was calculated. The results are shown in Table 1.

[ examples 1 to 12]

The etching gas and flow rate are set to IF7/N2The metal removal treatment was performed in the same manner as in example 1-1 except that the flow rate was changed to 30sccm/70sccm, and the mass reduction rate was calculated. The results are shown in Table 1.

Comparative examples 1 to 1

The etching gas is set to F2Otherwise, the same as in example 1-1The metal removal treatment was performed in the same manner, and the mass reduction rate was calculated. The results are shown in Table 1.

Comparative examples 1 and 2

The metal removal treatment was performed in the same manner as in example 1-1 except that the volatilization step was not performed, and the chamber 3 was replaced with nitrogen gas after the reaction step was completed, the sample 7 was taken out, and the mass of the sample 7 was measured, to calculate the mass reduction rate. The results are shown in Table 1.

[ example 2-1], [ example 2-2] and [ comparative example 2-1]

Sample 7 was treated with W powder (average particle size 3 μm, purity 99.9%, manufactured by Nilaco Co., Ltd.) under the conditions shown in Table 1. The results are shown in Table 1.

[ example 3-1], [ example 3-2] and [ comparative example 3-1]

Sample 7 was treated with Se powder (Nacalai TESSQUE, average particle diameter 10 μm, purity 99.9%) under the conditions shown in Table 1. The results are shown in Table 1.

[ example 4-1], [ example 4-2] and [ comparative example 4-1]

Sample 7 was treated with Mo powder (made by Allied materials (A.L.M.T.), average particle diameter 6 μm and purity 99.9%) under the conditions shown in Table 1. The results are shown in Table 1.

Examples 5-1, 5-2 and 5-1

Sample 7 was treated with Rh powder (high purity chemical Co., Ltd., average particle diameter 30 μm, purity 99.9%) under the conditions shown in Table 1. The results are shown in Table 1.

Example 6-1, example 6-2 and comparative example 6-1

As sample 7, Pd powder (manufactured by Hetian chemical industries, Ltd., average particle diameter 8 μm, purity 99.9%) was used, and treated under the conditions shown in Table 1. The results are shown in Table 1.

Example 7-1, example 7-2 and comparative example 7-1

Sample 7 was treated with Re powder (New Metals and Chemicals, Inc., 20 μm in average particle diameter and 99.9% in purity) under the conditions shown in Table 1. The results are shown in Table 1.

Example 8-1, example 8-2 and comparative example 8-1

Sample 7 was treated with Pt powder (5 μm in average particle size, 99.9% purity, manufactured by Nilaco Co., Ltd.) under the conditions shown in Table 1. The results are shown in Table 1.

Example 9-1, example 9-2 and comparative example 9-1

As sample 7, Fe powder (manufactured by Nilaco Co., Ltd., average particle diameter 70 μm, purity 99%) was used and treated under the conditions shown in Table 1. The results are shown in Table 1.

Example 10-1, example 10-2 and comparative example 10-1

Sample 7 was treated with Co powder (Merck, 2 μm in average particle size, 99.8% purity) under the conditions shown in Table 1. The results are shown in Table 1.

Example 11-1, example 11-2 and comparative example 11-1

Sample 7 was treated with Ni powder (Nilaco, Inc., 50 μm in average particle size, 99.9% purity) under the conditions shown in Table 1. The results are shown in Table 1.

Comparative example 12

Using SF6、NF3、SiF4、CF4、CHF3Or to each of them separately mixed with NH3Except that the mixture of (1) was used as an etching gas, the metal removal treatment was performed in the same manner as in example 1-1. In the case of using any etching gas, no reduction in mass was found. In addition, no reduction in the mass of the Ir powder was observed when the treatment was carried out under a temperature condition of the reaction step changed from 30 ℃ to 100 ℃ or 0 ℃ or when the temperature condition of the volatilization step was changed from 100 ℃ to 50 ℃ or 350 ℃. These results are all the same not only in the case of using Ir powder as sample 7 but also in the case of using powders of W, Se, Mo, Rh, Pd, Re, Pt, Fe, Co, and Ni.

[ reference examples 1-1], [ reference examples 1-2], [ reference examples 1-3] and [ reference examples 1-4]

Sample 7 was SiO2The powder (product of Takayama, Ltd., average particle diameter 50 μm, purity 99.9%) was processed under the conditions shown in Table 1. The results are shown in Table 1.

[ reference examples 2-1], [ reference examples 2-2], [ reference examples 2-3] and [ reference examples 2-4]

Sample 7 was Si3N4The powder (made by Udo Kyoho Co., Ltd., average particle diameter of 50 μm, purity of 99.9%) was processed under the conditions shown in Table 1. The results are shown in Table 1.

As is clear from examples 1-1, 1-2, 1-3 and 1-4, BrF was used in the reaction step5Or IF7The reaction is performed at 0 ℃ or 30 ℃ as an etching gas, and Ir can be etched by heating to 100 ℃ in a vacuum atmosphere in the volatilization step.

On the other hand, as is clear from comparative example 1-1, F was used in the reaction step2In the case of the etching gas, Ir etching is not performed. In addition, from comparative examples 1-2, it is clear that BrF is used even when5When only the reaction step is performed without performing the volatilization step as the etching gas, Ir cannot be etched, and the mass of sample 7 increases.

Further, it is clear from the results of examples 1-5 to 1-12 that BrF pairs were used in the reaction step5Or IF7In the case of a process gas mixed with an inert gas, Ir etching can be performed.

As is clear from examples 2-1, 2-2, 4-1, 4-2, 5-1, 5-2, 7-1, 7-2, 8-1 and 8-2, BrF was used in the reaction step5Or IF7The etching gas is reacted at 30 ℃ and heated to 100 ℃ in a vacuum atmosphere in the volatilization step, thereby etching W, Mo, Rh, Re, and Pt.

On the other hand, it is understood from comparative examples 2-1, 4-1, 5-1, 7-1 and 8-1 that F was used in the reaction step2In the case of etching gas, etching of W, Mo, Rh, Re, and Pt was not performed.

As is clear from examples 3-1 and 3-2, BrF was used in the reaction step5Or IF7As etching gas, the reaction is carried out at 30 ℃ and in the volatilization stepThe Se etching can be performed by heating to 50 ℃ in a vacuum atmosphere.

On the other hand, as is clear from comparative example 3-1, F was used in the reaction step2In the case of the etching gas, the etching of Se does not proceed.

As is clear from examples 6-1 and 6-2, BrF was used in the reaction step5Or IF7Pd can be etched by performing a reaction at 30 ℃ as an etching gas and heating to 350 ℃ in a vacuum atmosphere in a volatilization step.

On the other hand, as is clear from comparative example 6-1, F was used in the reaction step2In the case of the etching gas, Pd etching does not proceed.

From examples 9-1, 9-2, 10-1, 10-2, 11-1 and 11-2, it is understood that BrF was used in the reaction step5Or IF7Fe, Co, and Ni can be etched by reacting at 100 ℃ as an etching gas and heating to 350 ℃ in a vacuum atmosphere in a volatilization step.

On the other hand, it is understood from comparative examples 9-1, 10-1 and 11-1 that F was used in the reaction step2In the case of etching gas, etching of Fe, Co, and Ni was not performed.

Furthermore, as is clear from reference examples 1-1, 1-2, 2-1 and 2-2, BrF was used in the reaction step5Or IF7SiO when the reaction is carried out at 30 ℃ as an etching gas2And Si3N4The etching of (2) is not performed.

Furthermore, it is clear from reference examples 1-3, 1-4, 2-3 and 2-4 that BrF was used even in the reaction step5Or IF7SiO when the reaction is carried out at 100 ℃ as an etching gas2And Si3N4Only a small amount of etching was performed.

From this result, it can be seen that if the metal removal treatment of each of the above examples is performed, SiO can be prevented from being etched2And Si3N4Fe, Co, Ni, Se, Mo, Rh, Pd, W, Re, Ir, Pt are selectively etched.

Example 12-1, example 12-2 and comparative example 13

Sample 7 of example 12-1, example 12-2 and comparative example 13 was subjected to a metal removal treatment, i.e., etching, using the reaction apparatus 1 of fig. 1. Sample 7 used in example 12-1, example 12-2 and comparative example 13 will be described with reference to FIG. 2.

A material (KST WORLD) in which a tungsten film 22 having a thickness of 1 μm was formed on a square silicon substrate 21 having a side length of 2 inches was prepared, and a rectangular silica substrate 23 having a size of 1 inch × 2 inches was bonded to the tungsten film 22 using GREASE (DEMNUM green L-200 manufactured by seiko industries). As shown in fig. 2, the silicon dioxide substrate 23 is bonded so as to cover substantially a half portion of the tungsten film 22. The tungsten film 22 is to be removed, i.e., etched, and the silicon dioxide substrate 23 is used as a resist.

Using this sample 7, etching was performed under the conditions shown in table 2. The examples were conducted in the same manner as in examples 1 to 5 except for the conditions shown in Table 2. After the etching was completed, the chamber was opened, the sample 7 was taken out, the silica substrate 23 was removed from the taken-out sample 7, and the bonded surface was washed with ethanol to remove the grease. Then, the magnitude of the step difference between the etched surface 22b of the tungsten film 22 which was not etched but covered with the silica substrate 23 and the covered surface 22a of the tungsten film 22 which was etched but not covered with the silica substrate 23 was measured using an atomic force microscope VN-8010 manufactured by kynski co. The etching rate (nm/min) of tungsten was calculated by dividing the magnitude (nm) of the measured step difference by the etching time (min). The results are shown in Table 2.

The measurement conditions for the size of the step difference using the atomic force microscope were as follows.

And (3) measuring pressure: atmospheric pressure (101.3kPa)

Measuring temperature: 28 deg.C

And (3) measuring atmosphere: in the atmosphere

Scanning range: amplitude of 80.0 μm, height of 20.0 μm, and angle of 0 °

As is clear from examples 12-1 and 12-2, even when the object to be removed, i.e., the object to be etched, is a film, the etching proceeds without any problem. On the other hand, as is clear from comparative example 13, in the case of using fluorine gas as the etching gas, etching hardly proceeded.

Description of the reference numerals

1 reaction apparatus

3 Chamber

5 object stage

7 test sample

11 gas inlet

13 gas outlet

15 pressure gauge

21 silicon substrate

22 tungsten film

23 silicon dioxide substrate.

15页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于预测热水器罐故障的系统和方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!