Conformal deposition of silicon carbide films

文档序号:446089 发布日期:2021-12-28 浏览:31次 中文

阅读说明:本技术 碳化硅膜的共形沉积 (Conformal deposition of silicon carbide films ) 是由 巴德里·N·瓦拉达拉简 龚波 桂哲 于 2016-02-06 设计创作,主要内容包括:本发明涉及碳化硅膜的共形沉积。本发明公开了用于提供碳化硅膜的方法和系统。碳化硅层可以是在采用一种或多种具有一个或多个硅-氢键和/或硅-硅键的含硅前体的工艺条件下提供的。所述含硅前体还可以具有一个或多个硅-氧键和/或硅-碳键。处于基本上低能量状态的一种或多种自由基物质可与含硅前体反应以形成碳化硅膜。所述一种或多种自由基物质可在远程等离子体源中形成。(The invention relates to conformal deposition of silicon carbide films. Methods and systems for providing a silicon carbide film are disclosed. The silicon carbide layer may be provided under process conditions employing one or more silicon-containing precursors having one or more silicon-hydrogen bonds and/or silicon-silicon bonds. The silicon-containing precursor may also have one or more silicon-oxygen and/or silicon-carbon bonds. One or more radical species in a substantially low energy state may react with the silicon-containing precursor to form a silicon carbide film. The one or more radical species may be formed in a remote plasma source.)

1. A method of depositing a silicon carbide film on a substrate, the method comprising:

providing the substrate to a reaction chamber;

flowing one or more silicon-containing precursors to the substrate, wherein each of the one or more silicon-containing precursors has (i) one or more silicon-hydrogen bonds and/or silicon-silicon bonds, (ii) one or more silicon-carbon bonds, silicon-nitrogen bonds, and/or silicon-oxygen bonds, (iii) no carbon-oxygen bonds, and (iv) no carbon-nitrogen bonds;

flowing a source gas into a plasma source;

generating hydrogen radicals from the source gas in the plasma source;

flowing the hydrogen radicals onto the substrate, wherein the radicals are hydrogen radicals in a ground state that react with the one or more silicon-containing precursors under conditions that disrupt silicon-hydrogen bonds or silicon-silicon bonds of the silicon-containing precursor but maintain silicon-carbon, silicon-nitrogen, and/or silicon-oxygen bonds of the silicon-containing precursor;

flowing a co-reactant along the flow path of the hydrogen radicals, wherein the co-reactant comprises an oxidizing agent or a nitriding agent, wherein the ratio of the hydrogen flow rate to the co-reactant flow rate is between 40:1 and 500: 1; and

forming a silicon oxycarbide (SiOC) film, a silicon carbonitride (SiNC) film, or a silicon oxycarbonitride (SiONC) film on the substrate by the reaction.

2. The method of claim 1, wherein the co-reactant comprises an oxidant, wherein the oxidant comprises carbon dioxide, carbon monoxide, water, methanol, oxygen, ozone, or a combination thereof.

3. The method of claim 2, wherein the oxidant comprises oxygen.

4. The method of claim 3, wherein the ratio of the hydrogen flow rate to the oxygen flow rate is between 40:1 and 100: 1.

5. The method of claim 3, wherein the ratio of the hydrogen flow rate to the oxygen flow rate is between 40:1 and 200: 1.

6. The method of claim 3, wherein the ratio of the hydrogen flow rate to the oxygen flow rate is between 100:1 and 500: 1.

7. The method of claim 3, wherein the ratio of the hydrogen flow rate to the oxygen flow rate is between 200:1 and 500: 1.

8. The method of claim 1, further comprising generating oxygen or nitrogen radicals in the plasma source from the co-reactant.

9. The method of claim 8, wherein generating hydrogen radicals and generating oxygen or nitrogen radicals comprises exposing the source gas and the co-reactant to a remote plasma.

10. The method of claim 1, further comprising flowing a second co-reactant with the one or more silicon-containing precursors, wherein the second co-reactant comprises carbon dioxide, carbon monoxide, water, methanol, oxygen, ozone, nitrogen, nitrous oxide, ammonia, methane, ethane, acetylene, ethylene, diborane, or a combination thereof.

11. The method of claim 10, wherein the second co-reactant comprises one or both of carbon dioxide and oxygen.

12. The method of claim 1, wherein the silicon oxycarbide film, the silicon carbonitride film, or the silicon oxycarbide film is formed without atomic layer deposition.

13. The method of claim 1, wherein the one or more silicon-containing precursors are free of alkoxy (-COR), wherein R is an organic moiety, and free of amine (-C-NR1R2), wherein R1 and R2 are each independently hydrogen or an organic moiety.

14. The method of claim 1, wherein the one or more silicon-containing precursors comprise cyclic siloxanes.

15. The method of claim 14, wherein the cyclic siloxane is selected from the group consisting of heptamethylcyclotetrasiloxane and tetramethylcyclotetrasiloxane.

16. The method of claim 1, wherein the one or more silicon-containing precursors comprise a linear siloxane.

17. The method of claim 16, wherein the linear siloxane is selected from the group consisting of disiloxanes and trisiloxanes.

18. The method of claim 1, wherein the one or more silicon-containing precursors comprise an alkylsilane.

19. The method of claim 1, wherein the one or more silicon-containing precursors comprise a silazane.

20. The method of claim 1, wherein during (b) through (e), no compound comprising a carbon-oxygen bond or a carbon-nitrogen bond is provided to the substrate.

Technical Field

The present invention relates generally to the formation of silicon carbide films, and more particularly to conformal deposition of silicon carbide films.

Background

Silicon carbide (SiC) based thin films have unique physical, chemical and mechanical properties and are used in a variety of applications, particularly integrated circuit applications. The types of silicon carbide thin films include oxygen-doped silicon carbide (also referred to as silicon oxycarbide (SiOC)), nitrogen-doped silicon carbide (also referred to as silicon carbonitride (SiNC)), oxygen-and nitrogen-doped silicon carbide (also referred to as silicon oxynitride (sion)), and undoped silicon carbide.

Disclosure of Invention

The invention provides a method and a system for preparing silicon carbide. A substantially conformal silicon carbide layer may be provided using a process employing a silicon-containing precursor having one or more silicon-hydrogen bonds and/or silicon-silicon bonds. The silicon-containing precursor may also have one or more silicon-oxygen bonds, silicon-nitrogen bonds, and/or silicon-carbon bonds. The precursor may be made reactive by breaking one or more silicon-hydrogen bonds (e.g., stripping hydrogen atoms from the precursor) or breaking one or more silicon-silicon bonds (if present in the precursor) while maintaining one or more of silicon-oxygen, silicon-nitrogen, and/or silicon-carbon bonds in the precursor. The resulting film may contain one or more silicon-oxygen bonds and/or silicon-carbon bonds. The precursor may be converted into an active, but substantially intact form by converting it into a radical or other active species in which hydrogen atoms or other atoms are extracted. The hydrogen atoms or other atoms may be extracted by, for example, exposing the precursor to a radical species. In certain embodiments, one or more free radical species in a substantially low energy state may react with one or more silicon-containing precursors to form silicon carbide. The one or more radical species may be formed in a remote plasma source. Silicon carbide may be used in a variety of applications including, but not limited to, use as liners, spacers, etch stops, copper diffusion barriers, pore sealants, and ultra-low k dielectric layers.

Certain aspects of the invention relate to a method of depositing a silicon carbide film on a substrate, the method characterized by operations comprising: (a) providing the substrate to a reaction chamber; (b) providing a silicon-containing precursor to the substrate, wherein the silicon-containing precursor has (i) one or more silicon-hydrogen bonds and/or silicon-silicon bonds, (ii) no carbon-oxygen bonds; and (iii) has no carbon-nitrogen bond; and (c) introducing one or more radical species in a substantially low energy state from a source gas to react with the silicon-containing precursor to form the silicon carbide film on the substrate under conditions that disrupt silicon-hydrogen bonds or silicon-silicon bonds of the silicon-containing precursor but substantially maintain silicon-carbon bonds of the silicon-containing precursor. By way of example, the silicon carbide film comprises oxygen-doped silicon carbide, nitrogen-doped silicon carbide, or undoped silicon carbide.

In some cases, the silicon-containing precursor does not have an alkoxy group (-C-O-R), where R is an organic moiety, and does not have an amine group (-C-NR)1R2) Wherein R is1And R2Each independently hydrogen or an organic moiety. In certain embodiments, during (b) and (c), no compound comprising a carbon-oxygen bond or a carbon-nitrogen bond is provided to the substrate. Further, in certain embodiments, the silicon carbide film is formed without performing atomic layer deposition.

In certain embodiments, the silicon-containing precursor is a cyclic siloxane, such as hexamethylcyclotetrasiloxane and tetramethylcyclotetrasiloxane. In certain embodiments, the silicon-containing precursor is a linear siloxane, such as a disiloxane and a trisiloxane. In certain embodiments, the silicon-containing precursor is an alkylsilane, such as a disilane or a trisilane. In certain embodiments, the silicon-containing precursor is a silazane.

In certain embodiments, the radical species is a hydrogen radical, an oxygen radical, and/or a nitrogen radical. In certain embodiments, introducing the one or more radical species comprises exposing the source gas to a remote plasma, which may be produced by a radio frequency power source or a microwave power source.

In certain embodiments, the ratio of silicon-oxygen bonds or silicon-nitrogen bonds to silicon-carbon bonds in the silicon carbide film is between about 3:1 and about 0.5: 1. In some cases, the silicon carbide film on the substrate has a conformality of at least about 90%. In some applications, the substrate comprises a transistor having a gate electrode, and the method further comprises forming the silicon carbide film on one or more sidewalls of the gate electrode. In some applications, the silicon carbide film comprises an ultra-low k dielectric film.

Certain aspects of the present disclosure relate to an apparatus for depositing a silicon carbide film on a substrate, which may be characterized by comprising: a reaction chamber configured to hold the substrate during silicon carbide film deposition; a plasma chamber coupled to the reaction chamber and configured to generate a plasma outside of the reaction chamber; two or more gas inlets coupled to the reaction chamber; and a controller. The controller may include instructions to: (a) passing a silicon-containing precursor into the reaction chamber through one of the gas inlets, wherein the silicon-containing precursor has (i) one or more silicon-hydrogen bonds and/or silicon-silicon bonds, (ii) no carbon-oxygen bonds; and (iii) has no carbon-nitrogen bond; (b) providing one or more radical species in a substantially low energy state from a plasma in the plasma chamber; and (c) flowing the one or more radical species into the reaction chamber through another of the gas inlets to react with the silicon-containing precursor to form the silicon carbide film on the substrate.

In some designs, the apparatus has a distance between the reaction chamber and the plasma chamber of between about 1cm and about 30 cm. In various implementations, the plasma chamber includes a radio frequency power source or a microwave power source.

In certain embodiments, the controller further comprises instructions for not providing a compound comprising a carbon-oxygen bond or a carbon-nitrogen bond during (a) to (c). In certain embodiments, the controller instructions do not include instructions for depositing the silicon carbide film using atomic layer deposition.

In certain implementations, the silicon-containing precursor does not have alkoxy groups (-C-O-R), where R is an organic moiety, and does not have amine groups (-C-NR)1R2) Wherein R is1And R2Each independently hydrogen or an organic moiety. In some applications, the silicon-containing precursor is a siloxane. In certain implementations, the silicon-containing precursor is an alkylsilane. In certain implementations, the silicon-containing precursor is a silazane.

In some devices, the one or more radical species are generated from a gas selected from the group consisting of hydrogen, nitrogen, and ammonia. As an example, the radical species is a hydrogen atom. In some applications, the ratio of silicon-oxygen bonds or silicon-nitrogen bonds to silicon-carbon bonds in the silicon carbide film is between about 3:1 and about 0.5: 1. In some applications, the silicon carbide film is an ultra-low k dielectric film.

In some embodiments, the controller is configured to maintain the reaction chamber at a temperature between about 250 ℃ and about 400 ℃. In some embodiments, the controller is configured to maintain the reaction chamber at a pressure between about 0.2 torr and about 40 torr.

These and other embodiments are further described below with reference to the accompanying drawings.

Drawings

FIG. 1A shows a cross-section of one example of a SiC film deposited on a substrate.

Fig. 1B shows a SiC vertical structure on the sidewalls of the gate electrode structure of the transistor.

Fig. 1C shows a SiC vertical structure on the exposed sidewalls of the copper lines in an air gap type metallization layer.

Fig. 1D shows a SiC pore sealant for porous dielectric materials.

Fig. 2 shows an example of a representative caged silane precursor.

Fig. 3 shows a schematic view of a processing device with a remote plasma source.

Fig. 4 presents two photomicrographs comparing features of oxygen-doped silicon carbide produced under similar conditions but using two different precursors, one containing alkoxy groups and the other not.

Detailed Description

The following disclosure presents various details to help explain the relevant concepts, which can be practiced without some or all of these details. While some concepts will be described in conjunction with specific embodiments, it will be understood that these embodiments are not intended to be limiting. In some instances, well known process operations, structures, and other features have not been described in detail in order to facilitate understanding of the described concepts.

In this application, the terms "semiconductor wafer," "substrate," "wafer substrate," and "partially fabricated integrated circuit" are used interchangeably. One of ordinary skill in the art will appreciate that the term "partially fabricated integrated circuit" may refer to a silicon wafer during any of the many stages of integrated circuit fabrication that are performed thereon. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm or 300 mm or 450 mm. The following detailed description assumes that the invention is implemented on a wafer. However, the present invention is not so limited. The workpiece may be of various shapes, sizes and materials. In addition to semiconductor wafers, other workpieces that can take advantage of the present invention include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micromechanical devices, and the like.

Introduction to the design reside in

The manufacture of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated circuit fabrication process. In some aspects of the fabrication process, thin film types such as SiC, SiON, and SiCN are deposited using Atomic Layer Deposition (ALD), Chemical Vapor Deposition (CVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), or any other suitable deposition method. As used herein, the term "silicon carbide" includes doped silicon carbides, such as oxygen-doped silicon carbide, nitrogen-doped silicon carbide, and nitrogen and oxygen-doped silicon carbide. Doped silicon carbide can have a wide range of doping concentrations. For the most part, doped silicon carbide has up to about 50 atomic percent of the doping atoms, where these atoms are atoms of oxygen, nitrogen, or another element. The doping level provides the desired film properties. In one example, the process can produce a SiOC film having about 10-15 atomic percent carbon and about 40 atomic percent oxygen. In another example, the SiOC film contains about 30-35 atomic percent carbon and about 25-30 atomic percent oxygen. Of course, the electrical properties of the silicon carbide film will vary. Typically, the carbon content is relatively low for good electrical properties.

Precursor molecules for depositing SiC include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-carbon (Si-C) bonds. Precursor molecules used to deposit SiOC include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing SiNC include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-nitrogen (Si-N) bonds, and/or silicon-carbon (Si-C) bonds. Precursor molecules used to deposit SiONC include silicon-containing molecules having silicon-hydrogen (Si-H) bonds, and/or silicon-silicon (Si-Si) bonds, as well as silicon-nitrogen (Si-N) bonds, silicon-oxygen (Si-O) bonds, and/or silicon-carbon (as Si-C) bonds. Current PECVD processes may use in-situ plasma processing in which plasma is provided directly to the vicinity of the substrate being processed.

It has been found that depositing high quality silicon carbide thin films can present challenges, such as providing films with the following characteristics: good step coverage, low dielectric constant, high breakdown voltage, low leakage current, high porosity, and/or coverage on exposed metal surfaces without oxidizing the metal surfaces.

While the invention is not limited by any particular theory, it is believed that the plasma conditions in a typical PECVD process fragment silicon-containing precursor molecules in a manner that produces undesirable effects. For example, PECVD can break Si-O and/or Si-C bonds in precursor molecules to produce highly reactive radicals or other fragment types with high adhesion coefficients. The fragments and resulting silicon carbide film may include silicon, carbon, and/or oxygen atoms with "dangling" bonds, meaning that the silicon, carbon, and/or oxygen atoms have active unpaired valence electrons. The high adhesion coefficient of the precursor molecules and their fragments can deposit silicon carbide films with poor step coverage because the reactive precursor fragments can disproportionately adhere to the upper regions of the sidewalls of the recessed features and other structures.

Dangling bonds may create silanol groups (Si-OH) in the deposited SiOC or SiONC film. As a result, the film may have an undesirably high dielectric constant. Film quality may also be affected because direct plasma conditions tend to pull carbon out of the deposited film.

In addition, dangling bonds may cause an increase in silicon-hydrogen bonds (Si-H) in the deposited silicon carbide film. The damaged Si-C bond can be replaced with Si-H under direct plasma deposition conditions. The presence of Si-H bonds in the silicon carbide film can produce a thin film with poor electrical properties. For example, the presence of Si-H bonds can reduce breakdown voltage and can increase leakage current, since Si-H bonds provide a leakage path for electrons.

In addition, dangling bonds can lead to uncontrolled chemical or morphological structures in the silicon carbide film. In some cases, such structures are dense filaments with low porosity or no porosity, such that the film has an unacceptably high dielectric constant. The absence of porosity may be a result of direct plasma conditions that disrupt the Si-C and/or Si-O bonds in the cyclosiloxane that would otherwise provide porosity in the ultra-low k dielectric material.

Sometimes the use of direct plasma conditions in PECVD can lead to directionality in the deposition, since the energy to break down the precursor molecules can be low frequency, which creates a large amount of ion bombardment at the surface. Directional deposition can also result in the deposition of silicon carbide films with poor step coverage. A direct plasma is a plasma that: in which a plasma (of appropriate concentration of electrons and positive ions) resides near the substrate surface during deposition, sometimes separated from the substrate surface only by a plasma sheath.

Typical PECVD processes are sometimes unsuitable for depositing silicon carbide films on exposed copper or other metal surfaces because such processes can oxidize metals. An oxidizing agent, such as oxygen (O), may be used in the PECVD process2) Ozone (O)3) Carbon dioxide (CO)2) Or other oxidizing species to form oxygen-doped silicon carbide (e.g., SiOC).

Environment of substrate surface during deposition

Fig. 1A shows a cross-section of the resulting structure with a silicon carbide film deposited on the substrate. The film 101 may be formed under process conditions that create a relatively mild environment adjacent the substrate 100. Substrate 100 may be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process for depositing the silicon carbide film 101 can include one or more silicon-containing precursors having one or more Si-H bonds and/or one or more Si-Si bonds with other bonds, such as silicon-carbon bonds, silicon-oxygen bonds, and/or silicon-nitrogen bonds, depending on the type of doping structure to be created. In certain embodiments, the precursor does not include an oxygen-carbon bond or a nitrogen-carbon bond. As an example, the precursor does not contain alkoxy or amine groups.

Some applications employing silicon carbide films are shown in fig. 1B-1D. In some embodiments, the silicon-containing precursor may include a silicon-oxygen-containing precursor, a silicon-nitrogen-containing precursor, and/or a silicon-carbon-containing precursor. The silicon-oxygen containing precursor may include one or more Si-O bonds, the silicon-nitrogen containing precursor may include one or more Si-N bonds, and the silicon-carbon containing precursor may include one or more Si-C bonds. In some embodiments, for example, the silicon-containing precursor may comprise a single reactant A having Si-O and Si-C bonds or Si-N and Si-C bonds. In some embodiments, the silicon-containing precursor may include a reactant B having a Si-O bond or a Si-N bond, and a reactant C having a Si-C bond. It should be understood that any number of suitable reactants may be used within the scope of the present invention. The chemical structure of exemplary silicon-containing precursors will be discussed in further detail below.

The silicon-containing precursor includes one or more Si-H bonds and/or one or more Si-Si bonds. During the deposition process, the Si-H bonds and/or Si-Si bonds are broken and act as active sites for forming bonds between the silicon-containing precursors in the deposited silicon carbide film 101. The broken bonds may also serve as sites for cross-linking in thermal processing treatments performed during or after deposition. Bonding at the active sites and crosslinking may collectively form the primary backbone or matrix in the resulting silicon carbide film 101.

In some embodiments, the process conditions can substantially maintain the Si-C bonds, and if present the Si-O and Si-N bonds, in the deposited silicon carbide film 101 layer. Thus, the reaction conditions adjacent to the substrate 100 provide for breaking Si-H and/or Si-Si bonds, e.g., extracting hydrogen from the broken Si-H bonds, but the reaction conditions do not provide for extracting oxygen from Si-O bonds, nitrogen from Si-N bonds, or carbon from Si-C bonds. Typically, the reaction conditions described are present at the exposed surface of the workpiece (the surface on which the silicon carbide film is deposited). They may also be present at a distance above the workpiece, for example, from about 0.5 microns to about 150 millimeters above the workpiece. Indeed, the activation of the precursor may occur in the gas phase at a considerable distance above the workpiece. Typically, the relevant reaction conditions will be uniform or substantially uniform across the exposed surface of the substrate, although some variations may be permissible for some applications.

In addition to the silicon-containing precursor, the environment proximate the workpiece may include one or more radical species, preferably radical species in a substantially low energy state. Examples of such substances include hydrogen atom radicals. In some embodiments, all, substantially all, or a substantial portion of the hydrogen atomic radicals may be in a ground state, e.g., at least about 90% or 95% of the hydrogen atomic radicals in the vicinity of the workpiece are in a ground state. In certain embodiments, the hydrogen is provided in a carrier (e.g., helium). As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen. The pressure, proportion of carrier gas (e.g., helium), and other process conditions are selected so that the hydrogen atoms contact the substrate as radicals in a low energy state without recombination.

As explained elsewhere, hydrogen gas may be supplied to a remote plasma source to generate hydrogen radicals. Once generated, the hydrogen radicals can be in an excited energetic state. For example, hydrogen in the excited energy state may have an energy of at least 10.2eV (first excited state). The excited hydrogen radicals may lead to non-selective decomposition of the silicon-containing precursor. For example, hydrogen radicals in an excited state can easily break Si-H bonds, Si-Si bonds, Si-N bonds, Si-O bonds, and Si-C bonds, which can change the composition or physical or electrical properties of the silicon carbide film. In some embodiments, the excited hydrogen radicals may become hydrogen radicals in a substantially low energy state or hydrogen radicals in a ground state when the excited hydrogen radicals lose their energy or are slowly released (relax). Hydrogen radicals in a substantially low energy state or ground state are capable of selectively breaking Si-H bonds and Si-Si bonds, while Si-O, Si-N, and Si-C bonds are generally preserved. In some embodiments, process conditions may be provided such that the excited hydrogen radicals lose energy or are slowly released to form hydrogen radicals in a substantially low energy state or ground state. For example, the remote plasma source or associated components may be designed such that the residence time of the hydrogen radicals diffusing from the remote plasma source to the substrate is greater than the energy slow release time of the excited hydrogen radicals. The energy release time of the excited hydrogen radicals may be about 1 × 10 or less-3And second.

The state in which a substantial portion of the hydrogen atom radicals are in the ground state can be achieved by various techniques. Some devices are designed to achieve this state, as described below. Device features and process control features can be tested and adjusted to produce a mild state where a substantial portion of the hydrogen atom radicals are in the ground state. For example, the device may be operated and tested for charged particles downstream of the plasma source (i.e., near the substrate). The process and apparatus can be tuned until substantially no charged species are present near the substrate. In addition, the characteristics of the apparatus and process can be adjusted to a configuration in which they begin to produce high quality silicon carbide films from standard precursors (e.g., trimethylsilane). Relatively mild conditions are selected to support such film deposition.

Other examples of free radical species include: oxygen-containing species, such as elemental oxygen radicals (atomic or diatomic); nitrogen-containing species, such as elemental nitrogen radicals (atomic or diatomic); and N-H containing radicals, such as ammonia radicals, wherein nitrogen is optionally incorporated into the film. The radical species may be prepared from a gas comprising a hydrogen-containing species, a nitrogen-containing species, an N-H containing species, or mixtures thereof. In some embodiments, all of the atoms of the deposited film are provided substantially by the precursor molecules. In this case, the low energy radicals used to drive the deposition reaction may be entirely hydrogen or other species that do not substantially contribute to the quality of the deposited layer. In some embodiments, the radical species may be generated by a remote plasma source, as discussed in further detail below. In some embodiments, radicals or even ions of higher energy states may potentially be present near the wafer plane.

In some embodiments, the process conditions use a radical species in a substantially low energy state sufficient to break Si-H bonds and/or Si-Si bonds while substantially maintaining Si-O bonds, Si-N bonds, and Si-C bonds. Such process conditions may not have a significant amount of ionic, electronic, or radical species in a high energy state (e.g., a state above the ground state). In some embodiments, the ion concentration in the region adjacent to the membrane is no more than about 107/cm3. The presence of large numbers of ions or high energy radicals may tend to break Si-O, Si-N, and Si-C bonds, which may result in films with undesirable electrical characteristics (e.g., high dielectric constant and/or low breakdown voltage) and low conformality. It is believed that an excessively reactive environment produces reactive precursor fragments with a high sticking coefficient (indicative of a tendency to chemically or physically stick to the workpiece sidewalls), resulting in poor conformality.

The silicon-containing precursor is typically delivered with other species, particularly carrier gases, in the environment adjacent to the substrate 100. In some implementations, the silicon-containing precursor is present with radical species and other species (including other reactive species and/or carrier gases). In some embodiments, the silicon-containing precursor may be introduced as a mixture. The silicon-containing precursor may be mixed with an inert carrier gas upstream of the deposition reaction surface. Examples of inert carrier gases include, but are not limited to, argon (Ar) and helium (He). In addition, the silicon-containing precursor can be introduced into a mixture having primary and secondary species, the secondary species containing some elements or structural features (e.g., ring structures, cage structures, unsaturation, etc.) being present in the silicon carbide film 101 at relatively low concentrations. The various precursors may be present in equimolar or relatively similar proportions to suit the primary backbone or matrix to be formed in the resulting silicon carbide film 101. In other embodiments, the relative amounts of the different precursors are significantly offset from the equimolar concentration (equimolarity).

In some embodiments, the one or more silicon-containing precursors provide substantially all of the mass of the deposited silicon carbide film, with small amounts of hydrogen or other elements from the remote plasma providing less than about 5% atoms or less than about 2% atoms in the mass of the film. In some embodiments, only the radical species and the one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film. In other embodiments, the deposition reaction includes other co-reactants rather than one or more silicon-containing precursors and radical species. Examples of such CO-reactants include carbon dioxide (CO)2) Carbon monoxide (CO), water (H)2O), methanol (CH)3OH), oxygen (O)2) Ozone (O)3) Nitrogen (N)2) Nitrous oxide (N)2O), ammonia (NH)3) Methane (CH)4) Ethane (C)2H6) Acetylene (C)2H2) Ethylene (C)2H4) Diborane, and combinations thereof. Such materials may be used as nitridizing agents, oxidizing agents, reducing agents, and the like. In some cases, they may be used to adjust the amount of carbon in the deposited film by removing a portion of the carbon provided with the silicon-containing precursor. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced to the reactor through the same flow path as the silicon-containing precursor; for example, paths involving jets, are generally notDirect exposure to plasma. In some embodiments, oxygen and/or carbon dioxide are introduced with the precursor to alter the composition of the silicon carbide film by removing carbon from the film or precursor during deposition. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reactor via the same flow path as hydrogen, such that the co-reactant is at least partially converted to radicals and/or ions. In such embodiments, both the hydrogen radicals and the co-reactant radicals react with one or more silicon-containing precursors to produce a deposited silicon carbide film.

In certain embodiments where a co-reactant is used and introduced into the chamber with the substance to be converted to a radical (e.g., hydrogen), the co-reactant is provided to the reactor in a relatively smaller amount than other gases in the reactor, including a source of the radical (e.g., hydrogen), any one or more carrier gases (e.g., helium). For example, the co-reactant may be present in the process gas at a mass ratio of about 0.05% or less, or about 0.01% or less, or about 0.001% or less. For example, the reactant mixture (which enters the plasma source) can be about 10L/m He, about 200-2And about 1-5sccm of oxygen. When the co-reactant is introduced into the chamber with the silicon-containing precursor (e.g., through a showerhead), the co-reactant may exhibit a higher concentration; for example about 2% or less, or about 0.1% or less. When the co-reactant is a relatively weak reactant (e.g., a weak oxidant such as carbon dioxide), it may be present in even higher concentrations, e.g., about 10% or less than 10%, or about 4% or less than 4%.

The temperature in the environment adjacent the substrate 100 can be any suitable temperature that promotes the deposition reaction, but is sometimes limited by the application of the apparatus containing the silicon carbide film 101. During deposition of the silicon carbide film 101, the temperature in the environment adjacent the substrate 100 can be controlled to a large extent by the temperature of the susceptor on which the substrate 100 is supported. In some embodiments, the operating temperature may be between about 50 ℃ and about 500 ℃. For example, the operating temperature may be between about 200 ℃ and about 400 ℃ in many integrated circuit applications. In some embodiments, increasing the temperature may result in increased crosslinking on the substrate surface.

The pressure in the environment adjacent to the substrate 100 may be any suitable pressure for generating reactive radicals in the process chamber. In some embodiments, the pressure may be about 35 torr or less. For example, as in embodiments where microwaves are used to generate the plasma, the pressure may be between about 10 torr and about 20 torr. In other examples, such as in embodiments that employ Radio Frequency (RF) to generate the plasma, the pressure may be less than about 10 torr, or between about 0.2 torr and about 8 torr.

Fig. 1B-1D show cross-sections of structures containing silicon carbide films in various applications. Fig. 1B shows a vertical structure of silicon carbide on the sidewalls of the gate electrode structure of the transistor. Fig. 1C shows a vertical structure of silicon carbide on the sidewalls of copper lines exposed in an air gap type metallization layer. FIG. 1D shows a silicon carbide pore sealant for porous dielectric materials. Each of these applications will be discussed in further detail below.

Chemical structure of precursor

As discussed, the precursors employed in forming the silicon carbide film can include silicon-containing precursors, wherein at least some of the silicon-containing precursors have at least one Si-H bond and/or at least one Si-Si bond. In certain embodiments, the silicon-containing precursor has at most one hydrogen atom per silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom; a precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to a second silicon atom; precursors having three silicon atoms have at least one hydrogen atom bonded to one silicon atom and optionally one or more than two hydrogen atoms bonded to the remaining one or two silicon atoms, and so forth. Further, the silicon-containing precursor may include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. Although any number of suitable precursors can be used during the formation of the silicon carbide film, at least some of the precursors will include a silicon-containing precursor having at least one Si-H bond or Si-Si bond and optionally at least oneA Si-O bond, a Si-N bond, and/or a Si-C bond. In various embodiments, one or more silicon-containing precursors do not contain an O-C or N-C bond; for example, one or more of the precursors does not contain an alkoxy group (-O-R), where R is an organic group, such as a hydrocarbyl group or an amine (-NR)1R2) Group, wherein R1And R2Each independently hydrogen or an organic group. It is believed that such groups may impart a high coefficient of adhesion to the precursor or fragment in which they are located. In certain embodiments, the precursors or other compounds delivered to the substrate during the formation of silicon carbide do not contain alkoxy groups or amine groups.

In certain embodiments, at least some of the carbon provided for the silicon carbide film is provided by one or more hydrocarbon moieties on the silicon-containing precursor. These moieties may be selected from alkyl, alkenyl, alkynyl, aryl, and the like. In certain embodiments, the hydrocarbyl group has a single carbon atom to minimize steric hindrance of Si-H and/or Si-Si bond cleavage reactions during deposition. However, the precursor is not limited to a single carbon group; a greater number of carbon atoms may be used, such as 2, 3, 4, 5 or 6 carbon atoms. In certain embodiments, the hydrocarbyl group is linear. In certain embodiments, the hydrocarbyl group is cyclic.

In some embodiments, the silicon-containing precursor is one of three or more chemical species, any of which may be present alone as the sole precursor or in combination with other types of precursors. It should be understood that other chemical species of silicon-containing precursors may also be employed, and that silicon-containing precursors are not limited to the chemical species discussed below.

First, the silicon-containing precursor may be a siloxane. In some embodiments, the siloxane may be cyclic. The cyclic siloxanes may include cyclotetrasiloxanes, such as 2,4,6, 8-tetramethylcyclotetrasiloxane (TMCTS) and Hexamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Embodiments using cyclic siloxanes are cyclic structures that can introduce porosity into the silicon carbide film, where the size of the pores corresponds to the radius of the ring. For example, the cyclotetrasiloxane ring may have a radius of about 6.7 angstroms.

In some embodiments, the siloxane may have a three-dimensional or cage structure. Figure 2 shows a representative example of a caged siloxane precursor. Caged siloxanes have silicon atoms bridged to each other via oxygen atoms to form polyhedra or any 3-D structure. An example of a cage siloxane precursor molecule is a silsesquioxane. The caged siloxane structure is described in further detail in commonly owned U.S. patent No.6576345 to Cleemput et al, which is incorporated herein by reference for all purposes. Similar to cyclic siloxanes, cage siloxanes can introduce porosity into silicon carbide films. In some embodiments, the pore size is mesoporous.

In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to: disiloxanes, such as Pentamethyldisiloxane (PMDSO) and Tetramethyldisiloxane (TMDSO); and trisiloxanes such as hexamethyltrisiloxane, heptamethyltrisiloxane.

Second, the silicon-containing precursor may be an alkylsilane or other hydrocarbyl-substituted silane. The alkylsilane includes a central silicon atom having one or more alkyl groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. In certain embodiments, any one or more of the alkyl groups comprises 1 to 5 carbon atoms. The hydrocarbyl groups can be saturated or unsaturated (e.g., alkenes (such as ethylene), alkynes, and aromatic groups). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyldisilamethane ((CH)3)2Si-CH2-Si(CH3)3) And dimethylsilane (2 MS).

Further, disilane, trisilane, or other higher silanes may be substituted for monosilane. In some embodiments, one of the silicon atoms may have a carbon-containing group or a hydrocarbon group attached thereto, and one of the silicon atoms may have a hydrogen atom attached thereto.

Third, the silicon-containing precursor can be a nitrogen-containing compound, such as a silicon-nitrogen hydride (e.g., a silazane). Typically, such compounds contain carbon, but are bonded only to silicon atoms, and not to nitrogen atoms. In some casesIn embodiments, the nitrogen-containing compound does not have any carbon-nitrogen bonds. In certain embodiments, the nitrogen-containing compound does not have any amine moiety (-C-NR)1R2) Wherein R is1And R2Are identical or different radicals, such as hydrogen atoms and hydrocarbon radicals (such as alkyl, alkenyl or alkynyl radicals). Examples of suitable silicon-nitrogen precursors include various silazanes, such as cyclic and linear silazanes containing one or more hydrocarbon moieties bonded to one or more silicon atoms and one or more hydrogen atoms bonded to one or more silicon atoms. Examples of silazanes include methyl-substituted disilazanes and trisilazanes, such as tetramethyldisilazane and hexamethyltrisilazane.

During deposition of silicon carbide, a variety of silicon-containing precursors may be present in the process gas. For example, siloxane and alkylsilane may be used together, or siloxane and disilazane may be used together. The relative proportions of the individual precursors can be selected based on the chemical structure of the selected precursors and the application of the resulting silicon carbide film. For example, as discussed in more detail below, the mole percent of the amount of siloxane can be greater than the mole percent of the amount of silane to create a porous film.

For depositing oxygen-doped silicon carbide (SiOC) films, examples of suitable precursors include cyclosiloxanes, such as cyclotetrasiloxane, e.g. Heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane. Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. For depositing oxygen-doped silicon carbide films, other examples of suitable precursors include linear siloxanes, such as, but not limited to, disiloxanes such as Pentamethyldisiloxane (PMDSO), Tetramethyldisiloxane (TMDSO), hexamethyltrisiloxane, and heptamethyltrisiloxane.

For undoped silicon carbide, examples of suitable precursors include monosilanes substituted with one or more alkyl, alkene and/or alkynyl groups containing, for example, 1 to 5 carbon atoms. Examples include, but are not limited to, trimethylsilane (3MS), dimethylsilane (2MS), Triethylsilane (TES), and pentamethyldisilamethane.

In addition, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. An example of one such disilane from the alkylsilane family is Hexamethyldisilane (HMDS). Another example of a disilane from the alkylsilane family may include Pentamethyldisilane (PMDS).

Other types of alkyl silanes may include alkyl carbosilanes, which may have a branched polymeric structure with carbon bonded to a silicon atom and an alkyl group bonded to a silicon atom. Examples include Dimethyltrimethylsilylmethane (DTMSM) and bis-dimethylsilylethane (BDMSE).

For depositing nitrogen-doped silicon carbide (SINC) films, examples of suitable precursors include, for example, alkyldisilazanes and precursors including amino groups (-NH) bonded to one or more silicon atoms, respectively2) And alkyl groups. Alkyl disilazanes include silazanes bonded to two silicon atoms and alkyl groups. One embodiment includes 1,1,3, 3-Tetramethyldisilazane (TMDSN).

As explained, the silicon-containing precursor is selected to provide a highly conformal silicon carbide film. It is believed that silicon-containing precursors with low sticking coefficients are capable of producing highly conformal films. "sticking coefficient" is a term used to describe the ratio of the number of adsorbed species (e.g., fragments or molecules) that adsorb/adhere to the surface over the same period of time compared to the total number of species that strike the surface. Symbol ScSometimes used to refer to the sticking coefficient. ScValues of (d) are between 0 (meaning no substance adheres) and 1 (meaning all impinging substances adhere). A number of factors affect the sticking coefficient, including the type of impinging species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impinging species. Certain substances are more "sticky" in nature than others, such that they are more likely to adsorb to a surface each time the substance strikes the surface. These more adherent substances have a greater sticking coefficient (all other factors being equal) and are more likely to adsorb near the entrance to the recessed feature than less adherent substances having a lower sticking coefficient. In some cases, the adhesion coefficient of the precursor (under relevant deposition conditions) can be about 0.05 or less, for example about 0.001 or less.

Structure and properties of deposited films

The deposited film will include silicon, carbon, and in some cases oxygen, nitrogen, and/or one or more other elements. In some embodiments, the atomic concentration of silicon is between about 15% and 45% (or about 25% to 40%), the atomic concentration of carbon is between about 10% and 50%, the atomic concentration of oxygen is between about 0% and 45%, and the atomic concentration of nitrogen is between about 0% and 45%. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. In another example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 45%, and the atomic concentration of carbon is about 25%. In another example, the film contains about 10-15% carbon and about 30-40% oxygen, both calculated based on atomic number. In all cases, the membrane contained some hydrogen. It should be understood that the relative atomic concentrations may vary depending on the choice of precursor. The silicon atom will form a bond with carbon and optionally with nitrogen and/or oxygen atoms. In some embodiments, the deposited film contains more Si-O bonds than Si-C bonds. This can provide a relatively porous membrane with a lower dielectric constant. In some examples, the deposited film contains a ratio of Si-O bonds to Si-C bonds between about 0.5:1 and 3: 1. In some embodiments, the deposited film contains more Si-N bonds than Si-C bonds. In some examples, the deposited film contains a ratio of Si-N bonds to Si-C bonds between about 0.5:1 and 3: 1. In certain embodiments, the film density is between about 2 and 2.7g/cm3In the meantime.

In some embodiments, the internal structure of the precursor is maintained in the deposited film. Such a structure may retain all or most of the Si-C bonds, and Si-O bonds and/or Si-N bonds (if present) in the precursor while linking or crosslinking individual precursor moieties through bonds present at the sites of Si-H bonds and/or Si-Si bonds of the precursor molecules and/or through additional condensation reactions on the growth surface (if sufficient thermal energy is provided).

The process conditions described previously herein provide highly conformal film structures. Relatively mild process conditions can minimize the degree of ion bombardment at the substrate surface to make the deposition less directional. In addition, relatively mild process conditions may reduce the number of radicals having a high sticking coefficient, which may have a tendency to stick to the sidewalls of previously deposited layers or films. In certain embodiments, for a ratio of about 2: 1 to 10: 1, the silicon carbide film may be deposited with a conformal rate of between about 25% and 100%, more typically between about 50% and 100%, and even more typically between about 80% and 100%. The conformality ratio can be calculated by comparing the average thickness of the deposited film at the bottom, sidewalls, or top of the feature to the average thickness of the deposited film at the bottom, sidewalls, or top of the feature. For example, the conformality can be calculated by dividing the average thickness of the deposited film on the sidewalls of the feature by the average thickness of the deposited film on the top of the feature and multiplying by 100 to obtain the percentage. For some applications, a conformality between about 85% and 95% is sufficient. In a composition having a molecular weight between about 2: 1 and about 4: 1, the conformality is at least about 90%. Some BEOL (back end of line) processes belong to this class. In a polymer having a molecular weight between about 4: 1 and about 6: 1, the conformality is at least about 80%. The deposition of certain underlayer belongs to this category. In a composition having a molecular weight of between about 7: 1 and about 10: in some embodiments in which silicon carbide is deposited on features having aspect ratios between 1 (and even higher), the conformality is at least about 90%. The manufacturing process of some DRAMs (dynamic random access memories) belongs to this class.

The process conditions may also provide a film structure with a high breakdown voltage and low leakage current. By introducing limited amounts of oxygen or nitrogen into the SiC-based material, by Si-H bonds and/or Si-CH2The leakage path provided by the Si bonds can be blocked by oxygen or nitrogen. The conduction mode at low fields may be different in Si-O and Si-N. This may provide improved electrical performance while maintaining a relatively low dielectric constant. In various embodiments, the film has an effectiveness of about 5 or less, or about 4.0 or less, and in some cases about 3.5 or less, and in some cases about 3.0 or less, and in other embodiments about 2.5 or lessA dielectric constant. The effective dielectric constant may depend on bonding and density. (in some embodiments, SiOC films are made to have a dielectric constant of 6 or greater, particularly when the carbon content is relatively high<5. The lower the content, the poorer the sealing property, barrier property and heat resistance. In some embodiments, silicon carbide films can be made dense and highly crosslinked if the application requires low sealability and diffusion limitations, excellent etch resistance, thermal stability, etc. This can be achieved, for example, by the following steps: a) depositing the film at a relatively high temperature; and/or b) provide relatively high free radicals: the ratio of the precursors. In some embodiments, the silicon carbide film may be relatively thin and still act as an effective sealing or diffusion barrier.

In some embodiments, the deposited film may be porous. As previously discussed herein, the silicon-containing precursor may include cyclic siloxanes and caged siloxanes. These precursors, as well as other precursors having significant internal open spaces, can introduce significant porosity into the structure of the deposited film. Porosity in the deposited film may further reduce the dielectric constant. In some embodiments, the porosity of the deposited silicon carbide film is between about 20% and 50%. The pore size of the porous membrane may vary with the pore size of the cyclic or cage precursor. In certain embodiments, the average pore diameter of the membrane is between about 5 angstroms and 20 angstroms, such as about 16 angstroms.

Device for measuring the position of a moving object

One aspect of the invention is an apparatus configured to implement the methods described herein. Suitable apparatus include hardware for implementing process operations and a system controller having instructions for controlling process operations in accordance with the present invention. In some embodiments, the means for performing the aforementioned process operations may comprise a remote plasma source. The remote plasma source provides mild reaction conditions compared to direct plasma. An example of a suitable remote plasma device is described in U.S. patent application No.14/062,648 filed 24.10.2013, which is incorporated herein by reference in its entirety.

Fig. 3 illustrates a schematic diagram of a remote plasma apparatus according to some embodiments. The apparatus 300 includes a reaction chamber 310 having a showerhead assembly 320. Inside the reaction chamber 310, the substrate 330 rests on a pedestal or base 335. In some embodiments, the base 335 may be equipped with a heating/cooling element. A controller 340 may be connected to the components of the apparatus 300 to control the operation of the apparatus 300. For example, the controller 340 may contain instructions for the operation of the apparatus 300 or control process conditions, such as temperature process conditions and/or pressure process conditions.

In operation, a gas or gas mixture is introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to the reaction chamber 310. A first gas inlet 355 may be coupled to the reaction chamber 310 and connected to the vessel 350, and a second gas inlet 365 may be coupled to the reaction chamber 310 and connected to the remote plasma source 360. In embodiments including a remote plasma configuration, the delivery lines for the precursors and radical species generated in the remote plasma source are separate. Thus, the precursor and radical species do not substantially interact before reaching the substrate 330.

One or more radical species may be generated at the remote plasma source 360 and configured to enter the reaction chamber 310 via the gas inlet 365. Any type of plasma source may be used in the remote plasma source 360 to create radical species. This includes, but is not limited to, capacitively coupled plasma, inductively coupled plasma, microwave plasma, direct current plasma, and laser generated plasma. An example of a capacitively coupled plasma may be a Radio Frequency (RF) plasma. The high frequency plasma may be configured to operate at 13.56MHz or higher. An example of such a remote plasma source 360 may be manufactured by Novellus Systems of San Jose, CalifAnother example of such a radio frequency remote plasma source 360 may be the MKS by Wilmington, MassManufactured by InstrumentsWhich can operate at 440kHz and can be provided as a subunit bolted to a larger apparatus for parallel processing of one or more substrates. In some embodiments, microwave plasma may be used as the remote plasma source 360, e.g.Also manufactured by MKS Instruments. The microwave plasma may be configured to operate at a frequency of 2.45 GHz. The gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein. In certain embodiments, the hydrogen is provided in a carrier (e.g., helium). As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen.

The precursor may be provided in a container 350 and may be supplied to the showerhead 320 via a first gas inlet 355. The showerhead 320 dispenses the precursor to the reaction chamber 310 toward the substrate 330. A substrate 330 may be positioned below the showerhead 320. It should be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gases to the substrates 330. The precursor may be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.

One or more radical species formed at the remote plasma source 360 may be transported in a gas phase toward the substrate 330. One or more radical species may flow into the reaction chamber 310 through the second gas inlet 365. It should be understood that, as shown in fig. 3, the second gas inlet 365 need not be transverse (transversiser to) the surface of the substrate 330. In some embodiments, the second gas inlet 365 can be directly above the substrate 330 or at other locations. The distance between the remote plasma source 360 and the reaction chamber 310 may be configured to provide mild reaction conditions such that ionized species generated at the remote plasma source 360 are substantially mild, but at least some radical species at a relatively low energy state remain in the environment adjacent to the substrate 330In (1). Such free radical species in a low energy state no longer recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 may be aggressive to the plasma (e.g., determined in part by the source RF power level), gas density in the plasma (e.g., if there is a high concentration of hydrogen atoms, then a substantial portion of them may recombine to form H before reaching the reaction chamber 3102) And other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 may be between about 1cm and 30cm, such as between about 5cm or about 15 cm.

In some embodiments, a co-reactant that is not the predominant silicon-containing precursor or hydrogen radical may be introduced during the deposition reaction. In some embodiments, the apparatus is configured to introduce a co-reactant through the second gas inlet 365, in which case the co-reactant is at least partially converted to a plasma. In some embodiments, the apparatus is configured to introduce a co-reactant through the showerhead 320 via the first gas inlet 355. Examples of co-reactants include oxygen, nitrogen, carbon dioxide, and the like.

The controller 340 may contain instructions for controlling the process conditions for the operation of the apparatus 300. Controller 340 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller board, etc. The instructions for implementing the appropriate control operations are executed on the processor. These instructions may be stored in a memory device associated with controller 340 or they may be provided over a network.

In some embodiments, the controller 340 controls all or most of the actions of the semiconductor processing apparatus 300 described herein. For example, the controller 340 may control all or most of the actions of the semiconductor processing apparatus 300 associated with depositing a silicon carbide film, and optionally other operations in a manufacturing flow that includes a silicon carbide film. Controller 340 may execute system control software that includes sets of instructions for controlling timing settings, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, substrate position, and/or other parameters. Other computer programs, scripts, or programs stored on a memory device associated with controller 340 may be employed in some embodiments. In order to provide relatively mild reaction conditions in the environment adjacent to substrate 330, parameters such as RF power levels, gas flow rates to remote plasma regions, and timing of plasma ignition may be adjusted and maintained by controller 340. Additionally, adjusting the substrate position may further reduce the presence of energetic radical species in the environment adjacent to the substrate 330. In a multi-station reactor, the controller 340 may include different or the same instructions for different plant stations, thereby enabling the plant stations to operate independently or simultaneously.

In some embodiments, controller 340 may include instructions to perform operations such as: flowing a silicon-containing precursor to the reaction chamber 310 through a first gas inlet 355; providing one or more radical species in a substantially low energy state from the remote plasma source 360; and flowing one or more radical species into the reaction chamber 310 through the second gas inlet 365 to react with the silicon-containing precursor to form a silicon carbide film on the substrate 330.

In some implementations, the apparatus can include a user interface associated with the controller 340. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, and the like.

The computer program code for controlling the above operations may be written in any conventional computer readable programming language: such as assembly language, C, C + +, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program.

The signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals used to control the process are the outputs on the analog and digital output connections of the processing system.

In general, the methods described herein can be performed on a system that includes semiconductor processing equipment, such as one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of semiconductor wafers or substrates. In general, an electronic device may be referred to as a "controller," which may control various elements or subcomponents of one or more systems. Depending on the process requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, Radio Frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out of tools and other transfer tools, and/or load locks connected or interfaced with specific systems.

Broadly speaking, the controller 122 may be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and so forth. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers executing program instructions (e.g., software). The program instructions may be in the form of various separate settings (or program files) that communicate with the controller, define operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameter may be part of a recipe (recipe) defined by a process engineer for completing one or more processing steps during the preparation of one or more layer(s), material (e.g., silicon carbide), surface, circuit, and/or die of a wafer.

In some embodiments, the controller may be part of or coupled to a computer that is integrated with, coupled to, or connected to the system via a network, or a combination of these. For example, the controller may be in the "cloud" or be all or part of a fab host system, which may allow remote access to the wafer processing. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set processing steps to follow the current process or start a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system over a network, which may include a local network or the internet. The remote computer may include a user interface that allows parameters and/or settings to be entered or programmed, which are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, a controller may be distributed, for example, by including one or more discrete controllers that are connected together by a network and work toward a common goal (e.g., the processes and controls described herein). An example of a distributed controller for these purposes may be one or more integrated circuits within a room that communicate with one or more remote integrated circuits (e.g., at the platform level or as part of a remote computer) that are incorporated to control the processes within the room.

In addition to the silicon carbide deposition described herein, example systems may include plasma etch chambers or modules, deposition chambers or modules, spin clean chambers or modules, metal plating chambers or modules, clean chambers or modules, bevel edge etch chambers or modules, Physical Vapor Deposition (PVD) chambers or modules, Chemical Vapor Deposition (CVD) chambers or modules, Atomic Layer Deposition (ALD) chambers or modules, Atomic Layer Etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system that may be associated with or used in the preparation and/or fabrication of semiconductor wafers.

As described above, depending on the process step or steps to be performed by the tool, the controller may be associated with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, tools located throughout the factory, a mainframe, another controller, or tools used in the handling of containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing facility.

Applications of

The present disclosure may be further understood by reference to the following application to high quality silicon carbide films, where application is meant to be purely exemplary. The invention is not limited in scope by the specific application, which is merely a brief description of aspects of the disclosure.

In some embodiments, a silicon carbide film may be deposited on the exposed copper. The reaction conditions adjacent the substrate may be free of an oxidizing agent (e.g., O) during deposition of the silicon carbide film2,O3And CO2) Including their free radicals. Thus, the silicon carbide film can be deposited directly on the exposed copper without oxidizing the copper (e.g., generating copper oxide). Such a film may act as an etch stop layer, which may also act as a copper diffusion barrier layer. The presence of the silicon carbide film can provide a sufficiently low dielectric constant with excellent leakage characteristics to be used as a diffusion barrier. The silicon carbide film itself or as a bilayer stack (e.g., a silicon carbide/SiNC bilayer deposited on exposed copper) may be an etch stop and/or diffusion barrier. In some embodiments, a silicon carbide film may be placed between adjacent metallization layers that are typically produced by a damascene process. The silicon carbide film is resistant to etching and can be sufficiently dense to minimize diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, the precursors used for the silicon carbide film may be acyclic. The acyclic precursor may include PMDSO or TMDSO. The non-cyclic precursor may provide a sufficiently high density to act as a sealing or diffusion barrier. In some embodiments, nitrogen may be generated by using a nitrogen-containing precursorOr plasma activated nitrogen-containing radicals (such as elemental nitrogen radicals or amine radicals) are incorporated into the film.

In some embodiments, the silicon carbide film may be deposited as a vertical structure adjacent to the metal or semiconductor structure. The deposition of silicon carbide provides excellent step coverage on the sidewalls of the metal or semiconductor structure to create a vertical structure. In certain embodiments, the vertical structures may be referred to as spacers or pads. Figure 1B shows a cross-section of a silicon carbide liner deposited on sidewalls of a gate electrode structure of a transistor. As shown in fig. 1B, the transistor may be a CMOS transistor having a silicon substrate 110, the silicon substrate 110 having a source 112 and a drain 113. A gate dielectric 114 may be deposited on the silicon substrate 110 and a gate electrode 115 may be deposited on the gate dielectric to form a transistor. A silicon carbide liner 111 may be deposited on the sidewalls of gate electrode 115 and gate dielectric 114. In another example, fig. 1C shows a cross-section of silicon carbide deposited on the sidewalls of exposed copper lines in an air gap type metallization layer. Air gaps 120 may be introduced into the integrated circuit layer between copper lines 122, thereby reducing the effective k value of the layer. Silicon carbide pads 121 may be deposited on the sidewalls of the copper lines 122 and a non-conformal dielectric layer 123 may be deposited on the air gaps 120, liner 121, and copper lines 122. An example of such an air gap type metallization layer can be found in U.S. patent publication No.2004/0232552 to Fei Wang et al, which is incorporated herein by reference.

In some embodiments, a silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric material. The ultra-low k dielectric material may be made of a porous structure. The pores in such materials may provide an inlet region for metal during deposition of subsequent layers, including deposition of a diffusion barrier layer comprising a metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines. Fig. 1D shows a cross-section of silicon carbide as a pore sealant for a porous dielectric material. The porous dielectric layer 132 may have a plurality of trenches or vias cut into the porous dielectric layer 132 to form the holes 130. Silicon carbide 131 may be deposited along the aperture 130 to effectively seal the aperture 130. Sealing the pores 130 with silicon carbide 131 may avoid damage to the porous dielectric layer 132 caused by other sealing techniques that use plasma. Silicon carbide 131 may be sufficiently dense as a pore sealer and may include non-annular silicon-containing precursors such as PMDSO and TMDSO. In some embodiments, the etched dielectric material, such as porous dielectric layer 132, may first be treated by a "k-recovery" process that exposes porous dielectric layer 132 to UV radiation and a reducing agent. This recovery process is further described in commonly owned U.S. patent publication No.2011/0111533 to vararajan et al, which is incorporated herein by reference for all purposes. In another "k-recovery" process, porous dielectric layer 132 may be exposed to UV radiation and a chemical silylating agent. This recovery process is further described in commonly owned U.S. patent publication No.2011/0117678 to vararajan et al, which is incorporated herein by reference for all purposes. After the pores 130 are exposed to the healing process, thereby rendering the surface more hydrophilic and providing a monolayer of material, a layer of conformally deposited silicon carbide 131 may be deposited to effectively seal the pores of the porous dielectric layer 132.

In some embodiments, the silicon carbide film may be deposited as the ultra-low k dielectric material itself. Ultra-low k dielectrics are generally defined as those materials that have a dielectric constant that is lower than 2.5. In such a configuration, the ultra low k dielectric material of silicon carbide may be a porous dielectric layer. The pores of the dielectric layer may be introduced by using cyclic or cage precursor molecules including cyclic siloxanes and silsesquioxanes. In one example, the porosity of the ultra-low k dielectric layer of silicon carbide may be between about 20% and 50%. Additionally, the ultra-low k dielectric layer may have an average pore size of less than about 100 angstroms, such as between about 5 angstroms and 20 angstroms. For example, the cyclosiloxane ring may have a radius of about 6.7 angstroms. While increasing the number and size of the pores may decrease the dielectric constant, the mechanical integrity of the dielectric layer may be compromised if it is too porous.

Examples

Fig. 4 shows scanning electron micrographs of two oxygen-doped silicon carbide films: the left hand side was deposited using a precursor having alkoxy groups, while the right hand side was deposited using a similar precursor but without alkoxy groups. The apparatus and deposition conditions were the same except for the precursors. The feature with the film deposited on it has 7: an aspect ratio of 1 and a width of about 60 nanometers. As shown, the conformality of the films produced using the alkoxy-containing precursors was about 55%, while the conformality of the films produced using the precursors without alkoxy groups was 75%.

Although the foregoing details have been described for purposes of clarity and understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatuses described. The described embodiments are, therefore, to be considered in all respects as illustrative and not restrictive.

22页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!