Method for depositing gap filling layer by plasma auxiliary deposition

文档序号:1219983 发布日期:2020-09-04 浏览:16次 中文

阅读说明:本技术 通过等离子体辅助沉积来沉积间隙填充层的方法 (Method for depositing gap filling layer by plasma auxiliary deposition ) 是由 T.J.V.布兰夸特 于 2019-01-18 设计创作,主要内容包括:通过在填充有挥发性前体的腔室中撞击等离子体而在气相中形成粘性材料来沉积具有衬底表面上的图案化凹部的填充能力的膜,所述挥发性前体可在某些参数范围内聚合,该参数范围包括等离子体撞击期间前体的分压和衬底温度。(A film having the filling capability of patterned recesses on a substrate surface is deposited by striking a plasma in a gas phase to form a viscous material in a chamber filled with a volatile precursor that can polymerize within certain parameter ranges including the partial pressure of the precursor during plasma striking and the substrate temperature.)

1. A method of filling a patterned recess on a surface of a substrate, the method comprising:

providing a substrate including a recess in a reaction space;

providing a precursor to the reaction space, thereby filling the recess with a gas phase precursor; and

providing a plasma to the reaction space, thereby forming a viscous material in the recess,

wherein the viscous material flows in the recess and accumulates at a bottom of the recess, forming a deposited material at the bottom of the recess.

2. The method of claim 1, wherein the precursor is provided using a precursor flow in a range of about 10% to about 100% or about 50% to about 90% as part of a total gas flow to the reaction space.

3. The method of claim 1, wherein the partial pressure of the precursor in the reaction space is greater than about 200 Pa.

4. The method of claim 1, wherein the temperature of the substrate is between about 50 ℃ to about 150 ℃.

5. The process of claim 1, wherein the total pressure within the reaction space is greater than 500 Pa.

6. The method of claim 1, wherein the precursor is polymerized using the plasma.

7. The method of claim 6, wherein the average chain length of the viscous material is 2 to 20 or 5 to 10 times longer than the average chain length of the precursor molecules.

8. The method of claim 1, wherein an amount of material deposited on the bottom is greater than an amount of material deposited on sidewalls of the recess.

9. The method of claim 1, wherein the precursor comprises a silane.

10. The method of claim 9, wherein the precursor comprises one or more of disilane and trisilane.

11. The method of claim 1, wherein the precursor comprises an organosilane.

12. The method of claim 1, wherein the precursor comprises cyclopentasilane.

13. The method of claim 1, wherein the precursor concentration in the reaction space is in the range of about 10% to about 100% or about 50% to about 90%.

14. The method of claim 1, wherein the recesses have a width of about 20 to about 100nm or about 30 to about 50nm and a depth of about 30 to about 100nm or about 40 to about 60 nm.

15. The method of claim 1, wherein the recesses have a width and depth with an aspect ratio of about 2 to about 10 or about 2 to about 5.

16. The method of claim 1, wherein the viscous material is a liquid.

17. A method for filling a patterned recess of a substrate by: plasma-assisted deposition of a film with fill capability using a precursor in a reaction space, wherein a film without fill capability is capable of being deposited as a reference film on the substrate using the precursor in the reaction space when the precursor is supplied to the reaction space in a manner that provides a first partial pressure of the precursor over the patterned recesses of the substrate under first process conditions, the method comprising:

(i) supplying the precursor to the reaction space in a manner that provides a second partial pressure of the precursor over the patterned recesses of the substrate under second process conditions, wherein the second partial pressure is higher than the first partial pressure to an extent that provides a filling capability to the film when deposited under the second process conditions; and

(ii) exposing the patterned recess of the substrate to a plasma under the second process conditions to deposit the filling capable film, wherein during a period of exposing the patterned recess of the substrate to the plasma, a partial pressure of the precursor is maintained higher than the first partial pressure to fill the recess in a bottom-up manner,

wherein step (i) is performed simultaneously or as a previous step each time step (ii) is performed.

18. The process according to claim 17, wherein all the gases supplied to the reaction space throughout steps (i) and (ii) are: the precursor, optional carrier, and optional plasma ignition gas.

19. The method of claim 17, wherein the first process conditions comprise a first process temperature, a first process pressure, a first flow rate of the precursor, a first flow rate of a carrier gas, and a first flow rate of a plasma ignition gas, wherein in step (ii), the second molar concentration is achieved without changing the first flow rate of the precursor by reducing the first process temperature to a second process temperature, increasing the first process pressure to a second process pressure, and/or reducing a first flow rate of the carrier gas and/or a first flow rate of the plasma ignition gas.

20. The method of claim 17, wherein the precursor is comprised of an alkylsilane.

21. The method of claim 17, wherein the precursor is comprised of an aromatic silane.

22. The method of claim 17, wherein the plasma-assisted deposition is a plasma-enhanced CVD deposition, wherein the precursor is supplied to the reaction space continuously throughout steps (i) and (ii).

23. The method of claim 22, wherein the RF power is applied continuously or cyclically throughout step (ii).

24. The method of claim 17 wherein the plasma assisted deposition is a plasma enhanced ALD deposition comprising, per ALD cycle, step (i) wherein the precursor is supplied in pulses and step (ii) wherein RF power is applied in pulses that do not overlap with the pulses of the precursor.

25. The method of claim 17, wherein steps (i) and (ii) are continued until the patterned recesses are completely filled with the fillable-capable film, wherein substantially no voids are formed in the filled recesses.

26. The method of claim 17, wherein steps (i) and (ii) stop when the film with fill capability is deposited on the bottom and sidewalls of the patterned recess in a shape such that a cross-section of the deposited film in the recess has a top surface with a downwardly parabolic shape, wherein a thickness of the deposited film in the recess at a bottom center of the recess is at least twice a thickness of the deposited film on the top surface of the substrate, and substantially no void is formed in the filled recess.

27. The method of claim 17, further comprising exposing the substrate to a hydrogen plasma as a post-deposition treatment after completing the deposition of the film with fill capability.

28. The method of claim 17, wherein the second process conditions comprise a second process pressure and a second process temperature, wherein the second process temperature is above the melting point of the filled film but below its boiling point at the second partial pressure.

Technical Field

The present invention generally relates to a method of depositing a gap fill layer in a trench by plasma assisted deposition.

Background

In the process of fabricating integrated circuits such as those used for shallow trench isolation, intermetal dielectric layers, passivation layers, etc., it is often necessary to fill the trenches (typically any recesses having an aspect ratio of one or more) with insulating material. However, with the miniaturization of the wiring pitch of Large Scale Integration (LSI) devices, void-free filling of high aspect ratio spaces (e.g., AR ≧ 3) becomes increasingly difficult due to limitations of existing deposition processes.

Fig. 2 illustrates a schematic cross-sectional view of a trench in which a conventional plasma enhanced CVD process is performed in the order of (a) and (b) to fill the gap. In a conventional plasma enhanced CVD process, since the plasma reaction occurs in the gas phase and the reaction products accumulate on the substrate surface, the film grows faster on top of the trench 103 of the substrate 101 than inside the trench 103. Thus, when the layer 102 is deposited, the overhang portion 104 must be formed, as shown in (a). In addition, since deposition is performed layer by layer in the conventional CVD method, when the next layer 105 is deposited on the layer 102, the upper opening of the trench 103 is closed, leaving a void 106 inside the trench 103, as shown in (b).

Fig. 3 illustrates a schematic cross-sectional view of a trench in which a conventional gap filling process is performed in the order of (a), (b), and (c) using an inhibitor. By depositing the inhibitor 202 in the trench 201, it will inhibit the reaction products from accumulating on the surface covered by the inhibitor, as shown in (b), the reaction products will not accumulate on the top surface and at the top of the trench 201, but at the bottom of the trench 201, thereby achieving a bottom-up fill 203, as shown in (c). However, it is difficult to find a suitable combination of inhibitor and activator and to find suitable deposition process conditions. In many cases, such a process is not feasible.

Fig. 4 illustrates a schematic cross-sectional view of a trench in which a conventional gap filling process is performed in the order of (a) and (b) using a highly anisotropic process. The highly anisotropic process is typically ion-driven deposition, in which plasma bombardment by a plasma containing ions causes plasma reaction of the deposited layer, thereby anisotropically depositing a layer 302 on the top surface and a layer 303 inside the trench 301 with bottom-up filling, as shown in (b). However, as the trench is deeper, in order to bombard the bottom region of the trench with ions, the mean free path of the ions must be made longer to reach the bottom region, for example by significantly lowering the pressure to a high vacuum, which is often expensive and impractical.

Fig. 5 illustrates a schematic cross-sectional view of a trench in which a conventional gap filling process is performed in the order of (a) and (b) or (c) and (d) using a volume expansion process ((d) shows a loading effect). After depositing the layer on the surface of the substrate 405 with the trenches 401 as shown in (a) by, for example, an oxide layer 402, the layer may expand, increasing the volume or thickness of the layer and closing the gaps (trenches) 401 as shown in (b). However, as shown in (c), when the trench is composed of the narrow trench 401 and the wide trench 403, the wide trench has a significant opening 404 as shown in (d) even when the narrow trench is closed, due to a loading effect (i.e., a filling speed variation depending on a pattern density is referred to as a "loading effect"). In addition, as the layers expand and close the trench, the layers facing each other push against each other, thereby stressing the sidewalls of the trench as indicated by the arrows in (d), which often results in the structural portion of the trench or significantly collapsing.

Fig. 6 shows STEM photographs of cross-sectional views of trenches subjected to a conventional gap-fill process using a combination of deposition in (a), dry etching using different etchants in (b) to (d), and second deposition in (e) to (g) corresponding to (b) to (d), respectively. By combining deposition and etching, the topology or geometry of the gap-fill trench can be adjusted. However, as shown in FIG. 6, CF in (b) and (e) is not considered regardless of the type of etchant4CHF in (c) and (f)3And C in (d) and (g)4F8) The initial voids in the narrow trenches are not filled by the etch and subsequent deposition. Further, as shown in fig. 6, a load effect is shown. In addition, this process is time consuming because at least the deposition is repeated and etching is performed in between.

Fig. 7 illustrates a schematic cross-sectional view of a trench subjected to a conventional gap-fill process using a flowable material in the order of (a) and (b). Since the liquid or viscous gas is flowable and naturally moves to the bottom of the trench, by using such liquid or viscous gas, the trench 502 formed in the substrate 501 can be filled with a flowable material, forming a bottom-up filler 503 as shown in (b). Typically, the temperature of the substrate is maintained at a low temperature, for example 50 ℃ or less, in order to keep the material flowable. This process is very fast and efficient. Although a loading effect is shown, it is not generally a problem because all trenches may be overfilled, followed by CMP. However, the materials are often of very poor quality and require an additional curing step. Furthermore, when the channel is narrow, the surface tension of the flowable material interferes with or even prevents the flowable material from entering the interior of the channel. Fig. 8 illustrates a schematic cross-sectional view of a trench for a conventional gap-fill process using a flowable material and illustrates the above-described problems. In this process, the flowable state of the precursor is achieved by polymerization in the reaction chamber, which polymerization takes place upon mixing with another precursor in the gas phase on the substrate, i.e. before reaching the substrate surface and/or immediately after contacting the top surface of the substrate. By polymerizing with other precursors in the gas phase, the precursors immediately change to a flowable state before reaching the substrate surface and/or at the moment of contact with the top surface of the substrate when their temperature is kept at a very low temperature. In any case, the flowable state is always achieved before entering the groove. Thus, as shown in fig. 8, the flowable material 504 does not enter the trench 502 of the substrate 501, and due to the surface tension of the flowable material 504, the top opening of the trench 502 is blocked by the mass 505 and blocks the flowable material 504 from entering the trench 502. In addition, the process always uses oxygen and nitrogen, sometimes hydrogen chemistry, and/or the precursor must have a very low vapor pressure in order to form a flowable state of the precursor.

Any discussion of the problems and solutions referred to in the relevant art is included in the present disclosure for the purpose of providing a context for the present invention only and should not be taken as an admission that any or all of these discussions are known at the time of filing the present invention.

Disclosure of Invention

Exemplary embodiments of the present disclosure provide gap filling methods including plasma-assisted deposition. As set forth in more detail below, the recesses or gaps on the substrate surface may be filled substantially without the formation of voids and/or without the need for a nitrogen, oxygen, or hydrogen plasma. Various embodiments may address one or more of the above-described issues.

In some embodiments, it is an object of the present invention to provide a film with filling capability. In some embodiments, the fill capability may be achieved by forming a viscous material in the gas phase by striking, for example, an Ar and/or He plasma in a chamber filled with a volatile precursor that can polymerize over a range of certain parameters. The parameters may include, for example, the partial pressure of the precursor during plasma strike and the wafer temperature. As used herein, polymerization may include the formation of longer molecules and does not necessarily include carbon-carbon bonds. The viscous phase flows at the bottom of the trench and fills the trench with a film having a bottom-up seamless material. In some embodiments, the process can be validated using tetramethylsilane and/or dimethyldivinylsilane (DMDVS) as precursors; however, many other alkylsilane compounds or other compounds may be used alone or in any combination. In some embodiments, the process uses only silicon or silicon-carbon precursors and an inert gas to strike the plasma. In some embodiments, the process uses an ALD-like working procedure (e.g., feed/purge/plasma strike/purge) in which the post-feed purge is voluntarily severely shortened compared to conventional ALD processes to retain a high partial pressure of the precursor during plasma strike. This is clearly distinguished from typical ALD chemistry or mechanisms.

The above processes may be based on pure CVD and pulsed plasma CVD, which would also give the resulting film good fill capability, but as discussed later, ALD-like working procedures may be more beneficial.

In some embodiments, desirable aspects of the flowability of the deposited film include:

1) a sufficiently high partial pressure during the entire RF on period for the polymerization/chain growth to progress;

2) energy sufficient to activate the reaction (defined by the RF on period and RF power), but a long RF on period; and

3) set to a polymerization/chain growth temperature and pressure above the melting point of the flowable phase but below the boiling point of the deposition material.

In some embodiments, the silicon (e.g., Si-and C-) containing layer is converted to an SiO-based layer by a post-deposition oxygen plasma treatment.

For the purpose of summarizing aspects of the invention and the advantages achieved over the related art, certain objects and advantages of the invention are described in this disclosure. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other objects or advantages as may be taught or suggested herein.

Other aspects, features and advantages of the present invention will become apparent from the detailed description which follows.

Drawings

These and other features of the present invention will now be described with reference to the drawings of preferred embodiments, which are intended to illustrate and not to limit the invention. The figures are greatly simplified for illustrative purposes and are not necessarily to scale.

Fig. 1A is a schematic diagram of a PEALD (plasma enhanced atomic layer deposition) apparatus suitable for depositing films in accordance with at least one embodiment of the present disclosure.

Fig. 1B illustrates a schematic diagram of a precursor supply system that can be used in accordance with at least one embodiment of the present disclosure, which uses a flow-through system (FPS).

Fig. 2 illustrates a schematic cross-sectional view of a trench in which a conventional CVD process is performed in the order of (a) and (b) to fill the gap.

Fig. 3 illustrates a schematic cross-sectional view of a trench in which a conventional gap filling process is performed in the order of (a), (b), and (c) using an inhibitor.

Fig. 4 illustrates a schematic cross-sectional view of a trench in which a conventional gap filling process is performed in the order of (a) and (b) using a highly anisotropic process.

Fig. 5 illustrates a schematic cross-sectional view of a trench in which a conventional gap filling process is performed in the order of (a) and (b) or (c) and (d) using a volume expansion process ((d) shows a loading effect).

Fig. 6 shows a Scanning Transmission Electron Microscope (STEM) photograph of a cross-sectional view of a trench subjected to a conventional gap-filling process using a combination of deposition in (a), dry etching using different etchants in (b) to (d), and second deposition in (e) to (g) corresponding to (b) to (d), respectively.

Fig. 7 illustrates a schematic cross-sectional view of a trench subjected to a conventional gap-fill process using a flowable material in the order of (a) and (b).

Fig. 8 illustrates a schematic cross-sectional view of a trench for a conventional gap-fill process using a flowable material.

Fig. 9 illustrates a schematic cross-sectional view of a trench in which a gap filling process is performed in the order of (a), (b), and (c) according to an embodiment of the present invention.

Fig. 10 shows a STEM photograph of a cross-sectional view of a trench subjected to a gap-fill cycle in accordance with an embodiment of the present invention, wherein (a): narrow trench, repeat 50 times; (c) narrow trench, repeat 250 times; (b) wide trench, repeat 50 times; (d) the method comprises the following steps Wide trench, repeat 250 times.

Fig. 11 shows a STEM photograph of a cross-sectional view of a trench according to an embodiment of the present invention, wherein (a): a wide trench for performing a trench fill cycle; (b) the method comprises the following steps A narrow trench fill cycle is performed.

Fig. 12 shows a Fourier Transform Infrared (FTIR) spectrum of a gap-fill layer according to an embodiment of the present invention.

Fig. 13 shows a STEM photograph of a cross-sectional view of a gap-filled trench, in which (a): filling the wide groove with a gap subjected to regular hydrogen plasma treatment; (b) the method comprises the following steps The narrow trenches are gap-filled with periodic hydrogen plasma treatment.

Fig. 14 shows a STEM photograph of a cross-sectional view of a trench subjected to a gap-fill cycle in accordance with an embodiment of the present invention, wherein (a): narrow trench, repeat 50 times; (c) narrow trench, repeat 250 times; (b) wide trench, repeat 50 times; (d) the method comprises the following steps Wide trench, repeat 250 times.

Fig. 15 shows a graph indicating a schematic relationship between a process parameter and flowability according to an embodiment of the invention.

Fig. 16 shows a STEM photograph of a cross-sectional view of a wide trench and a narrow trench with gap-fill deposition by pure PECVD in accordance with an embodiment of the present invention.

Fig. 17 shows STEM photographs of cross-sectional views of wide and narrow trenches with gap-fill deposition by pulsed-feed PECVD according to a comparative example.

Fig. 18 shows STEM photographs of cross-sectional views of wide and narrow trenches gap-fill deposited by pulsed plasma PECVD in accordance with an embodiment of the present invention.

Fig. 19 shows a STEM photograph of a cross-sectional view of a gap-filled trench using a different gas as the drying gas, in which (a): 100% He; (b) the method comprises the following steps 80% He + 20% H2;(c):80%He+20%N2(ii) a (d) The method comprises the following steps 80% He + 20% Ar; and (e): 80% He + 20% O2

Detailed Description

In the present disclosure, "gas" may include vaporized solids and/or liquids and may consist of a single gas or a mixture of gases, depending on the context. Also, depending on the context, the article "a" refers to a species or genus that includes multiple species. In the present disclosure, the process gas introduced to the reaction chamber through, for example, the showerhead, may comprise, consist essentially of, or consist of the precursor and additive gases. The additive gas may include a reaction gas for, for example, nitriding and/or carbonizing the precursor and an inert gas (e.g., a noble gas) for exciting the precursor when RF power is applied to the additive gas. The inert gas may be fed to the reaction chamber as a carrier gas and/or a dilution gas. According to an example of the present disclosure, it is not necessary to use a reaction gas for oxidizing the precursor. Furthermore, in some embodiments, no reactive gas is used, and only an inert gas (as a carrier gas and/or a diluent gas) is used. The precursor and additive gases may be introduced into the reaction space as a mixed gas or separately. The precursor can be introduced using a carrier gas such as a noble gas. Gases other than process gases, i.e., gases that are not introduced through a showerhead, other gas distribution device, etc., may be used, for example, to seal the reaction space, including a sealing gas such as a noble gas. In some embodiments, the term "precursor" generally refers to a compound that participates in a chemical reaction that produces another compound, and particularly refers to a compound that constitutes the membrane matrix or the main framework of the membrane, while the term "reactant" refers to a compound that is not an activated precursor of a precursor, modifies a precursor, or catalyzes a reaction of a precursor, wherein the reactant can provide an element (e.g., N, C) to and become part of the membrane matrix when RF power is applied. The term "inert gas" refers to a gas that excites the precursor upon application of RF power, but unlike the reactants, it does not become part of the membrane matrix to an appreciable extent.

In some embodiments, a "film" refers to a layer that covers the entire target or associated surface, or simply a layer that covers the target or associated surface, substantially free of pinholes, extending continuously in a direction perpendicular to the thickness direction. In some embodiments, "layer" refers to a structure formed on a surface having a thickness or synonym for a film or non-film structure. A film or layer may be comprised of a discrete single film or layer having certain properties, or may be comprised of multiple films or layers, and the boundaries between adjacent films or layers may or may not be clear and may or may not have been created based on the physical, chemical, and/or any other properties, formation processes or sequences, and/or functions or objectives of the adjacent films or layers. Further, in the present disclosure, since the operable range may be determined based on conventional work, any two numbers of a variable may constitute the operable range of the variable, and any range indicated may include or exclude endpoints. Additionally, any indicated variable values (whether they are indicated by "about" or not) may refer to exact or approximate values and include equivalent values, and may refer in some embodiments to averages, medians, representative values, multiples, and the like. Further, in this disclosure, in some embodiments, the terms "consisting of … …" and "having" independently mean "generally or broadly comprising," including, "" consisting essentially of … …, "or" consisting of … …. In the present disclosure, in some embodiments, any defined meaning does not necessarily exclude ordinary and customary meanings.

In the present disclosure, "continuously" means, in some embodiments, without breaking vacuum, without interruption with time axis, without any material intervening steps, without immediately changing process conditions as a next step thereafter, or without intervening discrete physical or chemical structures between two structures other than the two structures.

In the present disclosure, the term "fill ability" refers to the ability to fill a gap substantially free of voids (e.g., voids having a dimension of about 5nm or greater in diameter) and seams (e.g., no seams having a length of about 5nm or greater), wherein a seamless/void-free growth of the layer is observed from bottom to top, said growth at the bottom of the gap being at least about 1.5 times faster than growth on the sidewalls of the gap and on the top surface with the gap. Films with filling capability are also referred to as "flowable films" or "tacky films". The flowable or viscous behavior of the film often appears as a concave surface at the bottom of the trench. For example, fig. 11 shows a STEM photograph of a cross-sectional view of a trench in accordance with an embodiment of the present invention, wherein (a): a wide trench for performing a trench fill cycle; (b) the method comprises the following steps A narrow trench fill cycle is performed. As shown in fig. 11 (a) and (b), the flowable film shows growth at the bottom of the trench that is at least about 1.5 times faster than growth on the sidewalls and on the top surface of the trench. In contrast, fig. 14 shows a STEM photograph of a cross-sectional view of a trench in which a film without fill capability (using the same precursor as in fig. 11) was deposited, wherein (a): a narrow trench; (b) the method comprises the following steps And a wide trench. As shown in fig. 14, the non-flowable film showed substantially the same growth at the bottom of the trench as the growth on the top surface, and did not appear as a substantially concave surface at the bottom.

In the above, once the trench is filled, the "growth" rate, defined by the thickness, decreases; however, since this is a flowable process, volume growth should be considered. Usually, per nm3The growth of (b) is constant throughout the deposition step, although the narrower the trench, the faster the growth in the Z (vertical) direction becomes. Furthermore, since the precursor flows to the bottom of the recesses, once all trenches, holes or other recesses are filled, growth proceeds in a classical manner by a planarization effect, regardless of geometry, forming a substantially planar surface as shown in fig. 13. Fig. 13 shows a STEM photograph of a cross-sectional view of a trench according to an embodiment of the present invention, wherein (a): filling the wide groove in the gap; (b) the method comprises the following steps The gap fills the deep narrow trench. In some embodiments, the growth rate of the flowable film on a planar surface in the conventional sense is in the range of 0.01 to 10 nm/cycle (blanket deposition).

In the present disclosure, the recesses and any other recess pattern between adjacent protrusion structures are referred to as "grooves". That is, the trench is any recess pattern including a hole/via, and it has a width of about 20nm to about 100nm (typically about 30nm to about 50nm) in some embodiments (wherein when the trench has substantially the same length as the width, it may be referred to as a hole/via, and may have a diameter of about 20nm to about 100nm), a depth of about 30nm to about 100nm (typically about 40nm to about 60nm), and an aspect ratio of about 2 to about 10 (typically about 2 to about 5). The dimensions of the trenches may vary depending on process conditions, film composition, intended application, and the like.

Film flowability is temporarily obtained when a volatile precursor, such as an alkylsilane or the like, is polymerized by plasma and deposited on the surface of a substrate, wherein a gaseous precursor (e.g., monomer) is activated or fragmented by energy provided by a plasma gas discharge to initiate polymerization, and when the resulting material is deposited on the surface of the substrate, the material exhibits temporarily flowable behavior. According to an exemplary embodiment, when the deposition step is completed, the flowable film is no longer flowable but rather solidified, and thus a separate solidification process is not required.

Since, in general, plasma chemistry is very complex, and the precise nature of the plasma reaction is difficult to characterize and largely unknown, it can be difficult to account for the reaction formula in the polymerization of the precursor. However, without limiting the invention, the following reaction may occur in the polymerization of alkylsilanes by plasma (this formula does not represent a stoichiometrically exact reaction since plasma polymerization is complex), resulting in the formation of a viscous/flowable material:

Figure BDA0002581137110000081

(n and m are integers)

Deposition of some flowable films is known in the art; however, conventional deposition of flowable films requires a precursor with two silicon atoms, no mention is made of the formation of a polymer, hydrogen is specified to be a necessary reactant, at least one of N-containing chemistry, O-containing chemistry, and H-containing chemistry is involved, and a non-SiC target material is formed. In contrast, in some embodiments, N-containing chemistry, O-containing chemistry, and/or H-containing chemistry are not required, no limitation is put on the number of silicon atoms included in the precursor, and various specific examples may involve Si-C chemistry, thereby forming Si-C polymers (excluding materials consisting essentially or predominantly of SiO (CN), SiO (N), sinh (oc), SiOCN, SiO (CN), SiCN (O), SiNO, SiO, SiN, SiOCH, SiCN, SiCO, SiN (C), and C in some embodiments). In some embodiments, the flowable film is composed of neither polysiloxane nor polysilazane, and although any suitable precursor or precursors (e.g., each containing a Si-C bond) may be used as precursors, in some embodiments, alkoxysilanes or aminosilanes are not used as precursors.

In some embodiments, the volatile precursor polymerizes over a range of parameters defined primarily by the precursor partial pressure during plasma strike, the wafer temperature, and the pressure in the reaction chamber. To adjust the "precursor partial pressure," indirect process knobs (diluent gas flow) are typically used to control the precursor partial pressure. Controlling the fluidity of the deposited film may not require the absolute number of partial pressures of the precursor, but may use the ratio of the flow rate of the precursor to the flow rates of the remaining gases and the total pressure in the reaction space at the reference temperature as the actual control parameters. If the precursor is very dilute, chain growth may stop before it can exhibit rich liquid-like behavior, or polymerization may not occur at all as in standard plasma CVD deposition. If the precursor gas ratio is low throughout the plasma strike, assuming constant total pressure and temperature (unless otherwise stated this assumption would apply when discussing precursor gas ratios), then no or almost no bottom-up filling is observed. At low precursor gas ratios, polymerization may occur to some extent, but the supply is too low to form polymer chains long enough to have liquid-like behavior. In some embodiments, the precursor gas ratio (ratio of precursor flow rate to total gas flow rate) is in the range of about 10% to about 100%, preferably about 50% to about 90%.

In some embodiments, such parameter ranges are adjusted as follows:

TABLE 1 (numerical values are approximate values)

High pressure is preferred for fluidity in terms of pressure, since gravity is the driving force for the film to flow at the bottom of the groove. In terms of temperature, low temperatures are preferred for fluidity (which is much less intuitive), although high temperatures favor polymer chain growth rates. For example, the phase change between the gas precursor and solidification may be as follows:

TABLE 2

Chain length x 5x 10x
Status of state Gas (es) Liquid, method for producing the same and use thereof Solid body

Alternatively or additionally, solidification may occur upon contact with the substrate, wherein the reaction is thermally activated. A high precursor gas ratio is preferred for flowability in terms of precursor gas ratio, since at low precursor partial pressures, although polymerization may occur, the supply is too low to form polymer chains long enough to have liquid-like behavior. There is an optimum value for the RF on time above or below which the ability to flow to the bottom will be diminished (the optimum value depends on other process parameters). It should be noted that changing these process parameters significantly changes the bottom-up growth process window. For example, when the fluidity of the deposited film is observed at 50 ℃ and 500Pa pressure, the pressure can be changed to at least 700Pa at 75 ℃ while keeping all other parameters constant to maintain the desired growth characteristics. The same is true for pressure, temperature and precursor gas ratio.

Fig. 15 shows a graph indicating a schematic relationship between process parameters and flowability according to an embodiment of the present disclosure (PEALD-like process). The vertical axis ("top/bottom") refers to the ratio of (top thickness in isolation region)/(bottom thickness in isolation region), where a ratio of 1 indicates that the deposited film has no flowability, and a ratio of 0 indicates that the deposited film has full or complete flowability. For example, the upper middle cell shows the relationship between temperature (deposition temperature) and fluidity as a function of pressure (total pressure), wherein, for example, when the pressure is 800Pa, the fluidity is about 0.4 at a temperature of 125 ℃ and about 0.1 at a temperature of 75 ℃. Also, the upper right cell shows the relationship between temperature (deposition temperature) and fluidity as a function of the dry He flow rate (where the precursor flows at 0.1slm with a carrier gas used), where, for example, when the dry He flow rate is 1.0slm, the fluidity at a temperature of 125 ℃ is about 0.4, and the fluidity at a temperature of 75 ℃ is about 0.1. The following table shows further examples:

table 3 (numerical values are approximate values)

Figure BDA0002581137110000101

Figure BDA0002581137110000111

In the above, "T/B" means a ratio of (top thickness in the isolation region)/(bottom thickness in the isolation region), and the common conditions are shown in table 4 below. These are merely examples and are not intended to limit the present invention.

Table 4 (numerical values are approximate values)

RF power 300W
Feeding of the feedstock 0.1 second
Purging 0.2 second
RF
1 second
Purging 0.5 second
Gap between upper electrode and lower electrode 15mm

All working procedures 1 to 11 show that the deposited films are flowable (top/bottom ratio is always less than 1), but the degree of flowability is different, i.e. the purpose of these data is not to find a process window but to optimize the performance. Based on fig. 15 and table 4, those skilled in the art should readily understand that they exhibit strong pressure and dry He flow interaction in terms of the fluidity of the deposited film. If the pressure is high, the dry He flow has a limited effect on the flowability, whereas if the pressure is low (e.g. 500Pa), a low dry He flow (high precursor partial pressure) is required to provide good flowability. In terms of temperature, such interaction is not observed, and it changes the performance only as shown in fig. 15 (moving along the vertical axis indicated by the parallel line in fig. 15). In the present disclosure, when conditions and/or structures are not specified, one skilled in the art can easily provide such conditions and/or structures to optimize process conditions in accordance with routine experimentation based on the entire disclosure.

Flowable films can be deposited not only by plasma enhanced atomic layer like deposition (PEALD), but also by Plasma Enhanced Chemical Vapor Deposition (PECVD) using continuous plasma or pulsed plasma. However, in general, PECVD with pulsed feeding (on-off pulsing) is not preferred because the precursor partial pressure becomes too low when the precursor is not fed to the reaction space while RF power is applied to the reaction space. The partial pressure of the precursor at the reference temperature used to deposit the flowable film should be greater than the partial pressure of the precursor to deposit the non-flowable film because a relatively high molar concentration of the precursor at the reference temperature is required to cause plasma polymerization when RF power is applied so that the deposited film is flowable, see the conditions employed when plasma reaction products are continuously formed in the vapor phase by PECVD and continuously deposited on the substrate with voids formed in the trenches, as shown in fig. 2, or when plasma reaction products are formed on the surface by surface reaction by PEALD only, where bottom-up structures cannot be formed in the trenches. In some embodiments, in PEALD, by shortening the purge duration, the precursor on the top surface may be primarily removed while the precursor in the trench may remain in the trench and, when the precursor is exposed to the plasma, a more viscous material is formed in the trench than on the top surface and the viscous material also flows to the bottom of the trench, forming a layer having a concave surface at the bottom. As discussed above, in PEALD, by performing a purge after precursor feed in a significantly insufficient amount or significantly shortening the purge, the molar concentration of the precursor in the trench can be kept relatively high at the reference temperature when RF power is applied to the reaction space. In some embodiments, the purge after precursor feed is shortened such that the partial pressure of the precursor at the reference temperature in the trench after the shortened purge can be considered to be substantially the same as the partial pressure of the precursor at the reference temperature when the precursor is fed to the reaction space. It should be noted that the above process is significantly different from conventional PEALD; however, for convenience, in the present disclosure, the above process may be referred to as a PEALD-like process or simply PEALD, where PEALD refers to a process using equipment for PEALD.

In some embodiments, depending on chamber volume, distance between the upper and lower electrodes, feed time, purge time, total gas flow, precursor vapor pressure (the amount of which also depends on ambient temperature and the amount of precursor remaining in the bottle, etc.), etc., the purge duration (in seconds) after precursor feed in an ALD cycle is 0.05, 0.1, 0.2, 0.3, 0.4, 0.5, 0.6, 0.7, 0.8, 0.9, 1.0, 2.0, 3.0, 4.0, 5.0, and a range between any two of the foregoing values, which can be determined by one of skill in the art through routine experimentation based on the entirety of the present disclosure. In some embodiments, the flow rate (sccm) of the precursor is 50, 100, 150, 200, 300, 400, 500, 600, 700, and ranges between any two of the foregoing values, in both a PEALD-like process and PECVD using continuous or pulsed plasma, again depending on the factors described above. In some embodiments, also depending on the factors described above, the duration of the precursor feed (in seconds) in an ALD cycle is 0.05, 0.1, 0.2, 0.3, 0.4, 0.5, 0.6, 0.7, 0.8, 0.9, 1.0, 2.0, 3.0, 4.0, 5.0, and ranges between any two of the foregoing values in a PEALD-like process. In some embodiments, the duration (in seconds) of the RF power application is 0.05, 0.1, 0.2, 0.3, 0.4, 0.5, 0.6, 0.7, 0.8, 0.9, 1.0, 2.0, 3.0, 4.0, 5.0, and ranges between any two of the foregoing values, depending on the factors described above. In some embodiments, the purge duration (in seconds) after the RF power application is 0.0, 0.05, 0.1, 0.2, 0.3, 0.4, 0.5, 0.6, 0.7, 0.8, 0.9, 1.0, 2.0, 3.0, 4.0, 5.0, and ranges between any two of the foregoing values, depending on the factors described above.

Fig. 9 illustrates the PEALD-like process discussed above, showing a schematic cross-sectional view of a trench with a gap-fill process performed in the order of (a), (b), and (c) in accordance with an embodiment of the invention. The substrate 31 having the trench 32 is placed in the reaction space in (a), and a precursor is fed to the reaction space, thereby filling the trench 32 with a vapor phase precursor 33 in (b). Thereafter, the gas phase precursor is exposed to a plasma strike, forming a viscous phase in the trench 32 directly (not before reaching the trench (as in standard PECVD) nor after reaching the trench (as in standard PEALD)), which deposits in the trench 32 and also flows into the trench 32, wherein viscous species (e.g., polymers) 36 accumulate at the bottom of the trench 32 (the surface is schematically shown as a planar surface for illustrative purposes), while little deposition 35 is observed on the sidewalls and only one thin layer 34 is deposited on the top surface in (c). The plasma polymerization process does not require nitrogen, oxygen, or hydrogen as reactants or chamber pressure limitations.

Although flowable films can be deposited not only by PEALD-like processes, but also by PECVD using a constant plasma or pulsed plasma, it may be beneficial to use PEALD-like processes. This is beneficial, for example, when the precursor changes from the gas phase to the liquid phase intermittently during deposition, as a constant liquid phase will be more likely to have surface tension problems (which are highly structure dependent and the narrower the trench, the worse the problem becomes), as shown in fig. 8. In addition, PECVD using a constant or pulsed plasma consumes significantly more precursor than PEALD-like processes.

As mentioned above, to achieve the flowability of the precursor, the partial pressure of the precursor at a reference temperature in the reaction space may be one of the important parameters, since the molar concentration of the precursor may be expressed as follows:

n/V as p/RT (ideal gas law)

Wherein T: thermodynamic temperature, P: pressure, n: amount of substance, V: volume, and R: gas constant.

Thus, if the deposition temperature becomes higher, the partial pressure of the deposited precursor should also become higher to maintain the same molar concentration. If the temperature is constant, the molar concentration of the precursor corresponds directly to the partial pressure of the precursor, which can be dealt with as a control parameter of the process. Furthermore, if the period of RF power application is extended in a PEALD-like process, the molar concentration of the precursor in the trench may decrease near the end of the period, which may result in insufficient amounts of precursor molecules in the trench being exposed to the plasma, resulting in deposition of less flowable or difficult to flow material, or solidification of deposited flowable material, or cessation of material flowability. On the other hand, if the period of RF power application is too short, then sufficient plasma polymerization may not occur and thus a flowable film may not be formed or deposited in the trench. In some embodiments, the period of RF power application (period of exposure to plasma) may be in the range of about 0.7 seconds to about 2.0 seconds (preferably about 1.0 second to about 2.0 seconds), which is applicable to both PEALD-like processes and PECVD using pulsed plasma. The plasma exposure time can also be adjusted by varying the distance between the upper and lower electrodes (conductively coupled parallel electrodes), wherein by increasing the distance, the holding time for the precursor to remain in the reaction space between the upper and lower electrodes can be extended when the flow rate of the precursor into the reaction space is constant. In some embodiments, the distance (mm) between the upper electrode and the lower electrode is 5, 10, 15, 20, 25, 30, and a range between any two of the foregoing values. In some embodiments, the RF power (W) (e.g., 13.56MHz) for flowable film deposition is 50, 100, 200, 300, 400, 500, 600, 700, 800, 900, 10000, and a range between any two of the foregoing values, as measured for 300-mm wafers, which can be converted to W/cm for wafers of different sizes in both PEALD-like processes and PECVD using continuous or pulsed plasma2The unit of (c).

In the present disclosure, when conditions and/or structures are not specified, those skilled in the art can easily provide such conditions and/or structures according to routine experiments in light of the present disclosure.

In all disclosed embodiments, any element used in an embodiment may be replaced by any element equivalent thereto for the intended purpose, including those elements explicitly, necessarily, or essentially disclosed herein. Furthermore, the present invention is equally applicable to apparatus and methods.

Embodiments will be described with reference to preferred embodiments. However, the invention is not limited to the preferred embodiments.

An exemplary method of filling a patterned recess or trench on a substrate includes: providing a substrate comprising a recess/trench in a reaction space, providing a precursor to the reaction space to fill the recess with the precursor, and providing a plasma to form a viscous phase of the precursor in the recess, wherein the viscous phase of the precursor flows and deposits or forms a deposition material in the bottom of the recess relative to the sidewalls and/or the top of the substrate remote from the recess.

Some embodiments provide a method of filling patterned recesses of a substrate by plasma-assisted deposition of a film having filling capability using a precursor in a reaction space in which a film having no filling capability can be formed on the substrate using the precursor as a reference film when the precursor is supplied to the reaction space in a manner that provides a first partial pressure of the precursor over the patterned recesses of the substrate under first process conditions, the method comprising: (i) supplying the precursor to the reaction space under second process conditions in a manner that provides a second partial pressure of the precursor over the patterned recesses of the substrate, wherein the second partial pressure is higher than the first partial pressure to an extent that provides film fill capability when deposited under second process conditions; and (ii) exposing the patterned recess of the substrate to a plasma under second process conditions to deposit a film having filling capability, wherein a partial pressure of the precursor is maintained higher than the first partial pressure throughout a period of exposing the patterned recess of the substrate to the plasma, thereby filling the recess in a bottom-up manner, wherein step (i) is performed simultaneously or as a precondition each time step (ii) is performed. According to exemplary aspects of these embodiments, the film or deposited material comprises silicon or silicon and carbon.

In some embodiments, all of the gases supplied to the reaction space throughout steps (i) and (ii) are: precursors, optionally carrier gases such as N2Ar and/or He, and optionally a plasma ignition gas, which may be or may include Ar, He, N2And/or H2. In some embodiments, also depending on the factors described above, the flow rates (slm) of these optional dry gases are 0, 0.1, 0.2, 0.3, 0.4, 0.5, 0.6, 0.7, 0.8, 0.9, 1.0, 2.0, 3.0, 4.0, 5.0, and ranges between any two of the foregoing values, in both PEALD-like processes and PECVD using continuous or pulsed plasmas. In some embodiments, Ar and/or He plasmas are used for polymerization without the need for H; however, the addition of H (e.g., about 1% to about 40% relative to the total flow of the dry gas stream) is not detrimental to the packing characteristics. In addition, O is added2Ar or N2(e.g., about 1% to about 40% relative to the total flow of dry gas) is not detrimental to the fill characteristics.

In some embodiments, the first process conditions comprise a first process temperature, a first process pressure, a first flow rate of the precursor, a first flow rate of the carrier gas, and a first flow rate of the plasma ignition gas, wherein in step (ii), the second molar concentration is achieved without changing the first flow rate of the precursor by reducing the first process temperature to a second process temperature, increasing the first process pressure to a second process pressure, and/or decreasing the first flow rate of the carrier gas and/or the first flow rate of the plasma ignition gas.

In some embodiments, the precursor comprises a Si — C bond. In these cases, the precursor may be comprised of an alkylsilane. Such precursors include, but are not limited to, dimethyldivinylsilane (having a vapor pressure of 13.3kPa at 20 ℃), dimethylvinylsilane (having a vapor pressure of 440kPa at 20 ℃), and tetramethylsilane (having a vapor pressure of 80kPa at 20 ℃). In some embodiments, the Si-C bond containing precursor is comprised of an aromatic silane. In some embodiments, a single precursor is used, and alternatively, two or more precursors may also be used in combination. The precursor may have at least one double bond, such as a vinyl group, for plasma polymerization. Although any suitable precursor (e.g., containing Si-C bonds) may be used as a monomer, such monomers (e.g., having Si-C bonds) may have a vapor pressure in excess of 100Pa at 25 ℃, silicon atoms of the monomers may be bonded to saturated or unsaturated carbon chains, and/or include aromatic compounds with or without halogen substituents. According to further embodiments, the precursor may include one or more organic and/or inorganic silanes, such as one or more of organosilanes, silanes, disilanes, trisilanes, cyclopentasilanes, and the like.

In some embodiments, the plasma-assisted deposition is a plasma-enhanced CVD deposition, wherein the precursor is supplied to the reaction space continuously throughout steps (i) and (ii). In some embodiments, the RF power is applied continuously or cyclically throughout step (ii).

Alternatively, in some embodiments, the plasma-assisted deposition is a plasma-enhanced ALD deposition, each ALD cycle of which comprises a step (i) in which the precursor is supplied in pulses and a step (ii) in which RF power is applied in pulses that do not overlap with the pulses of the precursor.

In some embodiments, steps (i) and (ii) continue until the patterned recesses are completely filled with the film having filling capability, wherein substantially no voids (which may be observed in STEM photographs of cross-sectional views of trenches with empty spaces of about 5nm or larger dimensions) are formed in the filled recesses.

In some embodiments, steps (i) and (ii) stop when a film having filling capability is deposited on the bottom and sidewalls of the patterned recess in a shape such that a cross-section of the deposited film in the recess has a top surface with a downwardly parabolic shape, wherein a thickness of the deposited film in the recess at a center of the bottom of the recess is at least twice a thickness of the deposited film on the top surface of the substrate, and substantially no void is formed in the filled recess.

In some embodiments, the method further comprises completing the film with fill capabilityAs a post-deposition treatment, the substrate is exposed to a (e.g., hydrogen) plasma. The periodic H (or O) plasma treatment may be applied with benefits in terms of shrinkage, Reactive Ion (RI), dry etch rate characteristics, and O content after annealing (e.g., at 450 ℃ for 30 minutes in a nitrogen atmosphere). H2The effect of the treatment is to form a polymer or material with a higher degree of cross-linking, thereby stabilizing the structure and properties of the polymer or material. On the other hand, O2The effect of the treatment is only oxidation (e.g. of converting polysilane to SiO). In some embodiments of performing a PEALD-like process, the RF power (W) at which the periodic plasma treatment is performed may be 50, 100, 200, 300, 400, 500, 600, 700, 800, 900, 10000, and ranges between any two of the foregoing values, as measured for 300-mm wafers, which may be converted to W/cm for wafers of different sizes2Is 1, 5, 10, 20, 30, 40, 50, 60, and ranges between any two of the foregoing values, and an ALD cycle/process ratio is 1/1, 2/1, 3/1, 4/1, 5/1, 6/1, 7/1, 8/1, 9/1, 10/1, 20/1, 30/1, 40/1, 50/1.

In some embodiments, the second process conditions include a second process pressure and a second process temperature, wherein the second process temperature is above the melting point of the filled film but below its boiling point at the second partial pressure.

These embodiments will be described in conjunction with the appended drawings. However, the present invention is not limited to these drawings.

The continuous flow of carrier gas may be achieved using a flow through system (FPS), wherein the carrier gas line is provided with a by-pass line with a precursor storage tank (bottle) and is switched between a main line and a by-pass line, wherein the by-pass line is closed when it is intended to feed the carrier gas only to the reaction chamber, and the main line is closed when it is intended to feed both the carrier gas and the precursor gas to the reaction chamber, the carrier gas flowing through the by-pass line and out of the bottle along with the precursor gas. In this way, the carrier gas may flow continuously into the reaction chamber and may carry the precursor gas in pulses by switching the main line and the by-pass line. FIG. 1B illustrates a precursor supply system using a flow-through system (FPS) according to one embodiment of the invention (black valves indicate that the valves are closed). As shown in fig. 1B (a), when the reaction chamber is fed with the precursor (not shown), a first carrier gas such as Ar (or He) flows through a gas line having valves B and c, and then enters the bottle (reservoir) 20. The carrier gas flows out of the bottle 20 while carrying an amount of precursor gas corresponding to the vapor pressure inside the bottle 20, flows through the gas line having valves f and e, and is then fed to the reaction chamber together with the precursor. In the above, the valves a and d are closed. When only the carrier gas (rare gas) is fed to the reaction chamber, as shown in (B) in fig. 1B, the carrier gas flows through the gas line having the valve a while bypassing the bottle 20. In the above, the valves b, c, d, e and f are closed.

The skilled artisan will recognize that the apparatus includes one or more controllers (not shown) programmed or otherwise configured to enable deposition and reactor cleaning processes described elsewhere herein. As will be appreciated by the skilled artisan, the one or more controllers may be in communication with gas flow controllers or valves of various power sources, heating systems, pumps, robotic devices, and reactors.

The process cycle may be carried out using any suitable apparatus, including, for example, the apparatus illustrated in FIG. 1A. FIG. 1A is a schematic diagram of a PEALD apparatus that may be used in some embodiments of the present invention, preferably in conjunction with a control device programmed to perform the processes described below. In this figure, plasma is ignited between the electrodes by providing a pair of electrically conductive plate electrodes 4, 2 in parallel and facing each other in the interior 11 (reaction zone) of the reaction chamber 3, applying HRF power (e.g., 13.56MHz or 27MHz) from a power supply 25 to one side, and electrically grounding the other side 12. A temperature regulator is provided in the lower stage 2 (lower electrode), and the temperature of the substrate 1 placed thereon is kept constant at a given temperature. The upper electrode 4 may also serve as a shower plate, and reactant gases and/or diluent gases (if any) and precursor gases may be introduced into the reaction chamber 3 through the gas line 21 and the gas line 22 and through the shower plate 4, respectively. In addition, in the reaction chamber 3, a ring pipe 13 with an exhaust line 7 is provided, through which the gases in the interior 11 of the reaction chamber 3 are exhausted. In addition, the transfer chamber 5 disposed below the reaction chamber 3 is provided with a seal gas line 24 to introduce a seal gas into the interior 11 of the reaction chamber 3 via the interior 16 (transfer region) of the transfer chamber 5, and a separation plate 14 for separating the reaction region and the transfer region is provided in the transfer chamber 5 (a gate valve through which a wafer is transferred into or from the transfer chamber 5 is omitted in the figure). The transfer chamber is also provided with an exhaust line 6. In some embodiments, the deposition and surface treatment of the multielement film are performed in the same reaction space, so that all steps can be performed continuously without exposing the substrate to air or other oxygen containing atmosphere.

In some embodiments, in the apparatus depicted in fig. 1A, the system for switching the flow of the inactive gas to the flow of the precursor gas illustrated in fig. 1B (described above) may be used to introduce the precursor gas in pulses without substantially fluctuating the pressure of the reaction chamber.

The skilled artisan will recognize that the apparatus includes one or more controllers (not shown) programmed or otherwise configured to enable deposition and reactor cleaning processes described elsewhere herein. As will be appreciated by the skilled artisan, the one or more controllers may be in communication with gas flow controllers or valves of various power sources, heating systems, pumps, robotic devices, and reactors.

In some embodiments, a dual chamber reactor (for processing two sections or compartments of a wafer disposed proximate to each other) may be used, where the reactant gas and the noble gas may be supplied through a shared line and the precursor gas through an unshared line.

Films with fill capability may be applied to a variety of semiconductor devices including, but not limited to, cell isolation in 3D cross-point memory devices, self-aligned vias, dummy gates (replacing current poly-Si), reverse tone patterning, PC RAM isolation, cut hard masks, and DRAM Storage Node Contact (SNC) isolation.

Examples of the invention

In the following examples, where conditions and/or structures are not specified, such conditions and/or structures can be readily provided by one of ordinary skill in the art in light of the present disclosure, following routine experimentation. The skilled artisan will appreciate that the apparatus used in the examples includes a controller(s) (not shown) programmed or otherwise configured to enable deposition and reactor cleaning processes described elsewhere herein. As will be appreciated by those skilled in the art, the controller(s) are in communication with the gas flow controllers or valves of the various power supplies, heating systems, pumps, robotic devices, and reactors.

Example 1

The filling capability of the film was determined by pure PECVD, pulse-fed PECVD, pulsed plasma PECVD or PEALD-like process on Si substrate (300 mm diameter, 0.7mm thickness) having narrow trenches with a width of about 30nm and wide trenches with a width of about 75nm, trench depth of about 70nm to use the apparatus illustrated in fig. 1A and the gas supply system (FPS) illustrated in fig. 1B under the conditions shown in table 5 below. A carrier gas (with a flow rate of 0.1slpm) was used to feed the precursor to the reaction chamber. However, due to the high vapor pressure of the precursor, no carrier gas is required. In this example, the small mass flow of carrier gas is used only as a precaution against condensation of the precursor in the line. If the line is sufficiently heated, then no carrier gas need be used. Furthermore, although the dry He flow is used to make plasma ignition easier and more stable, the dry He flow can be eliminated as long as the plasma is ignited. The film is deposited to completely fill the trench and further build up thereon, forming a planar top surface. Using STEM, a cross-sectional view of each substrate with filled trenches was taken.

TABLE 5 (numerical values are approximate values)

Fig. 16 shows a STEM photograph of a cross-sectional view of a wide trench and a narrow trench with gap-fill deposition by pure PECVD. Fig. 17 shows a STEM photograph of a cross-sectional view of a wide trench and a narrow trench with gap-fill deposition by pulsed-feed PECVD. Fig. 18 shows STEM photographs of cross-sectional views of wide and narrow trenches deposited by gap-fill by pulsed plasma PECVD. Fig. 10 shows STEM photographs of a cross-sectional view of a trench through a PEALD-like process with a gap-fill deposition cycle, wherein (a): narrow trenches, repeated 50 times per cycle; (c) narrow trenches, repeated 250 times per cycle; (b) wide trenches, repeated 50 times per gap fill cycle; (d) the method comprises the following steps Wide trenches, repeated 250 times per gap fill cycle. As shown in the STEM photographs, the films deposited by pulse-fed PECVD have no filling capability, and not only narrow trenches but also wide trenches show the formation of voids. This is because there is a period of time when no precursor is fed while RF power is applied; that is, the partial pressure of the precursor is not always maintained higher than the precursor partial pressure required for gap filling during the entire period of exposing the patterned recess of the substrate to the plasma. During the period of time when no precursor is fed while RF power is applied, plasma polymerization may stop or the material may solidify, thereby reducing the flowability of the material.

Fig. 12 shows Fourier Transform Infrared (FTIR) spectra of gap fill layers obtained by a PEALD-like process. As shown in fig. 12, the deposited material contained Si-C bonds and C-H bonds, confirming that the material was a SiC-based material.

Example 2

Flowable films were deposited by a PEALD-like process under the conditions used in example 1 in addition to those shown in table 6 below to determine the effect of the type of drying gas and its flow rate on the flowability of the deposited films.

TABLE 6

Figure BDA0002581137110000201

Fig. 19 shows a STEM photograph of a cross-sectional view of a gap-filled trench using a different gas as the drying gas, in which (a): 100% He; (b) the method comprises the following steps 80% He + 20% H2;(c):80%He+20%N2(ii) a (d) The method comprises the following steps 80% He + 20% Ar; and (e): 80% He + 20% O2. In the above,% values relate to the total amount of drying gas. As shown in fig. 19, Ar or He plasma is used for polymerization; without H, but with additionH is not detrimental to the filling ability of the film; in addition, O is added2Ar or N2Will not be detrimental to the filling capacity. These gases may be used as long as their flow rate is less than 50%, preferably less than 30%, and the majority or major portion of the dry gas is He. In some embodiments, O2Ar and N2Are not contained in the drying gas.

Example 3

A Si-C containing film was deposited by a PEALD-like process on a Si substrate (300 mm in diameter, 0.7mm in thickness) having a narrow trench with a width of about 30nm and a wide trench with a width of about 75nm and a trench depth of about 70nm to determine the filling capability of the film using the apparatus illustrated in fig. 1A and the gas supply system (FPS) illustrated in fig. 1B as in example 1 under the conditions shown in table 7 below. A carrier gas (with a flow rate of 0.1slpm) was used to feed the precursor to the reaction chamber as in example 1. The film is deposited to completely fill the trench and further build up thereon, forming a planar top surface.

Table 7 (numerical values are approximate values)

Figure BDA0002581137110000211

Figure BDA0002581137110000231

As discussed later, the effect of precursor depletion during long RF on-periods and activation problems due to RF on-periods that are too short can affect gap filling capability. In addition, phase change can be a critical aspect of gap filling capability.

In the above, between #57 and #58, the precursor partial pressure at the deposition temperature ("modified factor") decreases (fewer molecules in space) due to the increased dry He flow rate in #58, so that the film cannot fill the gap; however, although the process conditions in #82 and #85 were not significantly different from those in #57 and #58, the film still had gap-filling capability (this result is directly opposite to the result in # 58) even though the dry He flow rate in #85 was increased, i.e., the correction factor was decreased. This appears to be because in #85 the gap is larger than in #82, increasing the correction factor (more molecules in space). However, considering the degree of difference in "dry He" between #82 and #85, the difference in "gap" between #82 and #85 is less significant than the difference in "dry He". Similar phenomena were observed in #81 and # 86. It appears that the difference between #82 and #85 is the difference in "RF on", i.e. in #82 the RF power application is so long that the precursor is used up before the end of the RF power application, thus interfering with the gap filling. This phenomenon was observed in #84 and # 85. As previously mentioned, when RF is on, the gas phase appears to be depleted rapidly and the partial pressure of the precursor appears to be insufficient to effect polymerization. With respect to the comparative pairs #81 and #86, in both cases, the RF on period was very short, but #82 showed no fluidity and #87 showed fluidity. In case #82, the power is 200W, and in case #87, the power is 400W. It seems that since in #82 the RF on time is short and the RF power is low, the energy is not sufficient to proceed the polymerization.

When the RF power application is too short to cause polymerization, the film has no gap filling capability. This phenomenon was observed in #70 and #72 and #75 and # 77. However, between #62 and #63, it appears that "dry He" is increased to 0.6 in #63, so the partial pressure of the precursor is decreased, and this is a reason why gap filling is not obtained. However, when in #35 the "dry He" was further increased to 1.5, the partial pressure of the precursor was further decreased, but the film had gap-filling capability. It appears that in #36, the feed time is 0.5 seconds, which is 5 times longer than, for example, #62 and #63, resulting in a higher precursor dose, i.e., a higher precursor partial pressure.

During the gap-fill process, the deposited material ideally remains viscous or liquid and should not solidify or evaporate. The vapor pressure of the liquid phase (not the precursor) should be lower than the total pressure. For example, in the case of water, which boils at 100 ℃ at 760mmHg and at about 60 ℃ at 200mmHg, a similar situation to that described above can be observed regardless of the partial pressure. Thus, the temperature and pressure should be such that the liquid phase is below the boiling point and above the melting point of the deposited material.

In view of the above and the entire disclosure, by designating the process conditions in which a film without filling capability can be deposited on a substrate as a reference film as first process conditions (including a first partial pressure of the precursor at the deposition temperature), one skilled in the art can easily set a second partial pressure of the precursor at the deposition temperature by routine experimentation, wherein the second partial pressure is higher than the first partial pressure to an extent that provides film filling capability when deposited under the second process conditions.

Example 4

Flowable films were deposited by a PEALD-like process under the conditions used in example 1 except: (a) a substrate having trenches with a higher aspect ratio (aspect ratio of about 10) than in example 1 (aspect ratio of about 10), and (b) depositing a film on the SiO layer. As a result, STEM photographs of cross-sectional views of the gap-filled wide trench in (a) and the gap-filled deep narrow trench in (b) confirmed that each film has excellent filling ability.

Example 5

Flowable films were deposited by a PEALD-like process under the conditions used in example 1. Thereafter, a periodic H plasma treatment was performed under the conditions shown in table 8 below to anneal (450 ℃ in N)230 minutes in atmosphere) provides some benefits in terms of shrinkage, RI, and dry etch rate characteristics, which are also shown in table 8.

TABLE 8

Fig. 13 shows a STEM photograph of a cross-sectional view of a gap-filled trench, wherein (a): filling the wide groove with a gap subjected to regular hydrogen plasma treatment; (b) the method comprises the following steps The narrow trenches are gap-filled with periodic hydrogen plasma treatment. As shown in fig. 13, by performing the periodic hydrogen plasma treatment, it was observed that the deposited material was not substantially shrunk upon annealing.

Example 6

A flowable film was deposited by a PEALD-like process in a similar manner to example 5. Thereafter, a periodic H plasma treatment was performed in a similar manner as in example 5 to provide some benefits in RI and dry etch rate characteristics and O content, which are also shown in table 9.

TABLE 9

It will be understood by those skilled in the art that many and various modifications may be made without departing from the spirit of the invention. Accordingly, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention.

39页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:使用双(烷基芳烃)钼前体的钼气相沉积

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!