Transmission small angle X-ray scattering metering system

文档序号:1785963 发布日期:2019-12-06 浏览:35次 中文

阅读说明:本技术 透射小角度x射线散射计量系统 (Transmission small angle X-ray scattering metering system ) 是由 A·舒杰葛洛夫 A·吉里纽 S·佐卢布斯基 于 2018-04-13 设计创作,主要内容包括:本文中描述用于通过具有相对小工具占用面积的透射小角度x射线散射测量TSAXS系统表征半导体装置的尺寸及材料性质的方法及系统。本文中描述的所述方法及系统实现适合用于具有减小的光学路径长度的半导体结构的计量的Q空间分辨率。一般来说,所述x射线光束针对相对小目标经聚焦更接近晶片表面且针对相对大目标经聚焦更接近检测器。在一些实施例中,采用具有小点扩散函数PSF的高分辨率检测器以缓解对可实现Q分辨率的检测器PSF限制。在一些实施例中,所述检测器通过确定由光子转换事件刺激的电子云的质心而以子像素准确度定位入射光子。在一些实施例中,除了入射位置之外,所述检测器还分辨一或多个x射线光子能量。(methods and systems for characterizing dimensions and material properties of semiconductor devices by a transmission small angle x-ray scattering measurement (TSASX) system with a relatively small tool footprint are described herein. The methods and systems described herein enable Q spatial resolution suitable for metrology of semiconductor structures having reduced optical path lengths. In general, the x-ray beam is focused closer to the wafer surface for relatively small targets and closer to the detector for relatively large targets. In some embodiments, a high resolution detector with a small point spread function PSF is employed to alleviate the detector PSF limitation on achievable Q resolution. In some embodiments, the detector locates incident photons with sub-pixel accuracy by determining the centroid of the electron cloud stimulated by the photon-conversion event. In some embodiments, the detector resolves one or more x-ray photon energies in addition to the incident position.)

1. A metrology system, comprising:

an x-ray illumination source configured to generate an amount of x-ray radiation;

An x-ray illumination optics subsystem configured to illuminate a measurement target formed on a surface of a wafer with an incident focused beam of the quantity of x-ray radiation;

A sample positioning system that positions the measurement targets at a plurality of orientations relative to the incident focused beam;

An x-ray detector configured to detect an intensity associated with each of a plurality of diffraction orders of an amount of radiation scattered from the measurement target in response to the incident focused beam at each orientation, wherein an optical path length between the illumination source and the detector is less than 3 meters; and

A computing system configured to

Determining a value of a parameter of interest associated with the measurement target based on the detected intensities of the plurality of diffraction orders at the plurality of different orientations.

2. The metrology system of claim 1, wherein the x-ray illumination optics subsystem focuses the focused beam less than 200 millimeters in front of the wafer surface, at the measurement target, at the detector, or anywhere in an optical path between the measurement target and the detector.

3. The metrology system of claim 1, wherein the x-ray illumination optics subsystem includes one or more beam shaping slits positioned within 100 millimeters of the measurement target.

4. The metrology system of claim 1, wherein a portion of an optical path from the measurement target to the x-ray detector is maintained in a vacuum.

5. The metrology system of claim 1, wherein the x-ray detector is maintained in a vacuum.

6. The metrology system of claim 1, wherein the x-ray detector comprises a plurality of pixels each having a size less than 100 microns and a point spread function of a first pixel less than the plurality of pixels such that a portion of a diffracted beam incident on a second pixel adjacent to the first pixel is less than 0.1% of a portion of the diffracted beam incident on the first pixel.

7. The metrology system of claim 1, wherein a photosensitive volume of the x-ray detector includes cadmium telluride, germanium, gallium arsenide, or any combination thereof.

8. The metrology system of claim 7, wherein the photosensitive volume is at least 500 microns thick.

9. The metrology system of claim 1, wherein the x-ray detector interpolates between a plurality of energy levels at each pixel in the x-ray detector.

10. The metrology system of claim 1, wherein the x-ray detector determines a location of a centroid of photon interaction with the detector at a sub-pixel resolution.

11. The system of claim 1, wherein the focused beam of light propagates in a direction substantially parallel to a gravity vector.

12. The system of claim 1, wherein two or more of the diffraction orders spatially overlap on the detector, and wherein the computing system is further configured to:

The intensity of each of the overlapping diffraction orders is determined based on the beam shape of the zero diffraction order.

13. The metrology system of claim 1, wherein the measurement target includes one or more high aspect ratio structures.

14. the metrology system of claim 13, wherein the one or more high aspect ratio structures are any of spin transfer torque random access memory (STT-RAM), three dimensional NAND memory (3D-NAND), Dynamic Random Access Memory (DRAM), three dimensional FLASH memory (3D-FLASH), resistive random access memory (Re-RAMPC), and phase change random access memory (PC-RAM).

15. A method, comprising:

Illuminating a measurement target formed on a surface of a wafer with a beam of x-ray radiation at an energy level of 15kev or higher;

Detecting, in response to the incident light beam, an intensity associated with a plurality of diffraction orders of an amount of radiation scattered from the measurement target, wherein an optical path length between an illumination source and a detector is less than 3 meters, and wherein two or more of the plurality of diffraction orders spatially overlap on a surface of a detector that detects the intensity;

Determining an intensity of each of the overlapping diffraction orders based on a beam shape of a zero diffraction order; and

Determining a value of a parameter of interest associated with the measurement target based on the intensities of the plurality of diffraction orders.

16. The method of claim 15, wherein the beam of x-ray radiation is focused less than 200 millimeters before the wafer surface, at the measurement target, at the detector, or anywhere in an optical path between the measurement target and the detector.

17. The method of claim 15, wherein the detector comprises a plurality of pixels each having a size less than 100 microns and a point spread function of a first pixel less than the plurality of pixels such that a portion of diffracted light beams incident on a second pixel adjacent to the first pixel is less than 0.1% of a portion of the diffracted light beams incident on the first pixel.

18. The method of claim 15, further comprising:

Interpolating between a plurality of energy levels at each pixel in the x-ray detector.

19. A metrology system, comprising:

An x-ray illumination source configured to generate an amount of x-ray radiation;

An x-ray illumination optics subsystem configured to illuminate a measurement target formed on a surface of a wafer with an incident focused beam of the quantity of x-ray radiation;

An x-ray detector configured to detect, in response to the incident focused beam, an intensity associated with each of a plurality of diffraction orders of an amount of radiation scattered from the measurement target, wherein an optical path length between the illumination source and the detector is less than 3 meters, and wherein two or more of the plurality of diffraction orders spatially overlap on a surface of the x-ray detector; and

A non-transitory computer-readable medium comprising:

Code for causing a computing system to determine an intensity of each of the overlapping diffraction orders based on a beam shape of a zero diffraction order; and

Code for causing the computing system to determine a value of a parameter of interest associated with the measurement target based on the determined intensities of the plurality of diffraction orders.

20. The metrology system of claim 19, wherein the x-ray illumination optics subsystem focuses the focused beam at the measurement target, at the detector, or anywhere in an optical path between the measurement target and the detector.

Technical Field

The described embodiments relate to metrology systems and methods, and more particularly, to methods and systems for improved measurement accuracy.

Background

Semiconductor devices, such as logic and memory devices, are typically fabricated by a series of processing steps applied to a sample. Various features and multiple structural levels of the semiconductor device are formed by these processing steps. For example, photolithography is one semiconductor device process that involves creating a pattern on a semiconductor wafer. Additional examples of semiconductor device processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices.

Metrology processes are used at various steps during semiconductor device processing to detect defects on wafers to facilitate higher yields. Several metrology-based techniques, including scatterometry and reflectometry implementations and associated analytical algorithms, are commonly used to characterize critical dimensions, film thicknesses, compositions, and other parameters of nanoscale structures.

Scatterometry critical dimension (SCR) measurements have traditionally been performed on targets comprised of thin films and/or repeating periodic structures. During device fabrication, these films and periodic structures typically represent the actual device geometry and material structure or intermediate design. As devices (e.g., logic and memory devices) move to smaller nanoscale dimensions, characterization becomes more difficult. Devices incorporating complex three-dimensional geometries and materials with a variety of physical properties pose difficulties in characterization. For example, modern memory structures are typically high aspect ratio three-dimensional structures, which make it difficult for optical radiation to penetrate to the underlying layers. Optical metrology tools utilizing infrared to visible light can penetrate many layers of translucent materials, but longer wavelengths, which provide good penetration depths, do not provide sufficient sensitivity to small anomalies. In addition, the increased number of parameters required to characterize complex structures (e.g., finfets) results in increasingly large parameter dependencies. Thus, the parameters characterizing the target often cannot reliably depart from the available measurements.

In one example, longer wavelengths (e.g., near infrared) have been employed in an attempt to overcome the penetration problem of 3D FLASH devices that utilize polysilicon as one of the alternative materials in the stack. However, the mirror-like structure of 3D FLASH inherently causes reduced light intensity as the illumination propagates deeper into the film stack. This causes sensitivity loss and correlation problems at depth. In this case, SCD can only successfully extract a reduced set of metric sizes with high sensitivity and low correlation.

In another example, opaque high dielectric coefficient materials are increasingly employed in modern semiconductor structures. Optical radiation is generally not able to penetrate layers composed of these materials. Therefore, measurements using thin film scatterometry tools (e.g., ellipsometers or reflectometers) are becoming increasingly challenging.

In response to these challenges, more sophisticated optical metrology tools have been developed. For example, tools have been developed with multiple illumination angles, shorter illumination wavelengths, wider illumination wavelength ranges, and more complete information acquisition from reflected signals (e.g., measuring multiple Mueller (Mueller) matrix elements in addition to more conventional reflectance or ellipsometric measurement signals). However, these methods do not reliably overcome the fundamental challenges associated with the measurement and measurement applications (e.g., line edge roughness and line width roughness measurements) of many advanced targets (e.g., complex 3D structures, structures less than 10nm, structures employing opaque materials).

Atomic Force Microscopy (AFM) and Scanning Tunneling Microscopy (STM) are capable of achieving atomic resolution but they can only probe the surface of a sample. In addition, AFM and STM microscopes require long scanning times. Scanning Electron Microscopy (SEM) achieves intermediate levels of resolution, but does not penetrate structures to sufficient depth. Thus, high aspect ratio holes are not well characterized. In addition, the charging required for the sample has a negative impact on the imaging performance. X-ray reflectometers also suffer from penetration problems that limit their effectiveness when measuring high aspect ratio structures.

To overcome the penetration depth problem, conventional imaging techniques (e.g., TEM, SEM, etc.) are employed in conjunction with destructive sample preparation techniques (e.g., Focused Ion Beam (FIB) machining, ion milling, blanket or selective etching, etc.). For example, Transmission Electron Microscopy (TEM) achieves high resolution levels and is capable of probing arbitrary depths, but TEM requires destructive segmentation of the sample. Several iterations of material removal and measurement typically provide the information needed to measure critical metrology parameters throughout a three-dimensional structure. However, these techniques require sample disruption and long process times. The complexity and time to complete these types of measurements introduces large inaccuracies due to drift in the etch and metrology steps. In addition, these techniques require several iterations of introducing registration errors.

Transmission small angle X-ray scattering measurement (T-SAXS) systems employing photons of hard X-ray energy levels (>15keV) have shown promise in addressing challenging measurement applications. The application of SAXS techniques to various aspects of the measurement of critical dimension (CD-SAXS) and overlay (OVL-SAXS) is described in the following scenarios: 1) U.S. patent No. 7,929,667 entitled "High-brightness X-ray metrology" by Zhuang and felden; 2) U.S. patent publication No. 2014/0019097 entitled "Model Building And Analysis Engine for combined X-Ray And Optical Metrology" to sei cheman (bakemean), schhegrov (Zhao), Zhao (Zhao) And Tan (Tan); 3) U.S. patent publication No. 2015/0117610 entitled "method and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology" to verdman (Veldman), peckman, sienna and mieer (Mieher) "; 4) U.S. patent publication No. 2016/0202193 entitled "Measurement System Optimization For X-Ray Based Metrology" to Hench (Hench), sierd and fisherman; 5) us patent publication No. 2017/0167862 entitled "High Aspect Ratio structure X-ray Metrology (X-ray Metrology For High Aspect Ratio Structures)" by Dziura, gelineau, and schrader; and 6) U.S. patent application No. 15/419,130 entitled "Full Beam Metrology for X-Ray microscopy Systems for X-Ray Scatterometry System" for cover, Detz, Hencky, Vildman (Veldman), and Zaluvbsky (Zaluvbsky), the contents of each of which are incorporated herein by reference in their entirety. The aforementioned patent document is assigned to Kolie Corporation (KLA-Tencor Corporation) of Milpitas, California, USA. Additionally, U.S. patent No. 9,606,073 entitled "X-ray scattering measurement apparatus" by Mazor et al, the contents of which are incorporated herein by reference in their entirety, describes the application of SAXS techniques to various aspects of semiconductor structures.

SAXS has also been applied to the characterization of materials and other non-semiconductor related applications. Exemplary systems have been commercialized by several companies, including Xenocs SAS (www.xenocs.com), Bruker Corporation (www.bruker.com), and Physics Corporation (Rigaku Corporation) (www.rigaku.com/en). Both Bruker (Bruker) and physics (Rigaku) provide small angle x-ray scatterometry systems and wide angle x-ray scatterometry systems designated "Nanostar" and "Nanopix," respectively. These systems include adjustable sample-to-detector distances.

The study of CD-SAXS metrology of semiconductor structures is also described in the scientific literature. Most research groups have adopted high brightness x-ray synchrotron sources that are not suitable for use in semiconductor manufacturing facilities due to their large size, cost, etc. An example of such a system is described in the article by lemill (lemallet), jermer (Germer), Kline (Kline), et al entitled "mutual comparison between optical and x-ray scatterometry measurements of FinFET structures" (international optical between optical and x-ray scattering measurements), proceedings of the international society of optical engineering (proc. spie), volume 8681, page 86810Q (2013), the contents of each of which are incorporated herein by reference in their entirety. Recently, the group of the National Institute of Standards and Technology (NIST) has initiated research using compact and bright x-ray sources similar to those described in U.S. patent No. 7,929,667. This study is described in an article entitled "X-ray scattering critical dimension metrology using a compact X-ray source for a next generation semiconductor device," journal of micro/nanolithography (j. micro/nanolithography) msmemoesms 16(1),014001 (months 1 to 3 2017), the contents of each of which are incorporated herein by reference in their entirety.

SAXS systems employ several different types of detectors, including mixed-pixel photon-counting detectors, charge-integrating pixel array detectors, gaseous avalanche detectors, and the like. The pixel size of available detectors is in the range from about 50 microns to approximately 200 microns. Prototypes with 25 micron pixels are currently under development.

a substantial drawback of all previous SAXS architectures is the substantial size of the instrumentation required to measure typical semiconductor structures. Fine angular resolution is required to resolve the diffraction image at the detector. Currently, this resolution is achieved by increasing the length of the instrument.

In one example, a "Nanostar" system manufactured by bruke may be configured with a sample-to-detector distance of 1070mm, a detector with a pixel size of 68 microns, and a q-spatial resolution of 5e-3 angstrom "1.

In a semiconductor manufacturing facility, metrology and inspection tools must conform to relatively small footprint sizes to maximize the use of expensive clean room space and accommodate more tools for higher throughput. Therefore, the tool length of current SAXS systems must be reduced from the current level to be usable in a practical semiconductor manufacturing environment.

in addition, the resolution of hard x-ray detectors also limits the ability of current systems to reconstruct detailed profiles of semiconductor devices. For example, current vertical nand (vnand) structures are fabricated with a base pitch length on the order of a few microns. The measurement of these structures using hard x-rays produces very fine diffraction patterns that cannot be resolved based on angular resolution alone without relying on an impractical tool length (e.g., -40 m tool length). Thus, this measurement application is not feasible with current tools.

In summary, current CD/OVL SAXS systems are not suitable for implementation in a production semiconductor manufacturing facility due to excessive footprint, limited resolution, and reliance on angular level separation. To further improve device performance, the semiconductor industry continues to focus on vertical integration rather than lateral scaling. Accurate measurement of complex, fully three-dimensional structures is therefore crucial to ensure feasibility and continued scaling improvements. Future metrology applications present metrology challenges due to increasingly smaller resolution requirements, multi-parameter dependencies, increasingly complex geometries (including high aspect ratio structures), and increasing use of opaque materials. Accordingly, methods and systems for improved x-ray scatterometry measurements, including improved resolution and smaller footprint, are desired.

Disclosure of Invention

Methods and systems for characterizing dimensions and material properties of semiconductor devices by a transmission small angle x-ray scatterometry (TSAXS) system with a relatively small tool footprint are described herein. The methods and systems described herein enable Q spatial resolution suitable for metrology of semiconductor structures having reduced optical path lengths.

In one aspect, the TSAXS measurement system employs hard x-ray illumination to measure targets in a range from relatively small dimensions (e.g., approximately 50 nanometers) to relatively large dimensions (e.g., up to 10 microns) over a relatively short optical path length (e.g., less than 3 meters from the illumination source to the detector).

In another aspect, the TSAXS measurement system illuminates the sample with an X-ray beam less than 200 millimeters before being focused on the wafer surface, at the detector surface, or anywhere between the wafer surface and the detector surface to mitigate optical focus limitations on achievable Q resolution. In general, for metrology applications involving relatively small targets that must be illuminated using the smallest possible illumination spot size, the x-ray beam is focused closer to the wafer surface. For metrology applications involving relatively large targets where high image resolution and photon flux are desired, the x-ray beam is focused closer to the detector.

In yet another aspect, the TSAXS measurement system includes a high resolution detector with a small PSF to alleviate the PSF limitation on the achievable Q resolution. Regardless of where the optical focus is positioned relative to the wafer and detector, a high resolution detector is advantageous when the wafer-detector distance D is reduced to a relatively small value (e.g., less than 1 meter).

In another aspect, the location of the centroid of the electron cloud stimulated by the photon conversion event is calculated by the detector. The location of the centroid provides the location of the incident photon with sub-pixel accuracy. This effectively reduces the pixel size and achieves Q resolution beyond geometric limits. Sub-pixel spatial interpolation as described herein is preferred for TSAXS measurements of semiconductor structures with wafer-detector distances less than 1 meter.

In some embodiments, a TSAXS system with a relatively short optical path length is configured with a horizontal optical path incident on a vertically positioned wafer (i.e., perpendicular to the wafer surface approximately perpendicular to the gravity vector). However, in some other embodiments, TSAXS systems with relatively short optical path lengths are configured to have a vertical optical path incident on a wafer that is horizontally positioned (i.e., perpendicular to the wafer surface approximately parallel to the gravity vector). By orienting the beam lines vertically, a smaller tool footprint can be achieved and wafer handling simplified.

In yet another aspect, an x-ray detector resolves one or more x-ray photon energies and generates, for each x-ray energy component, a signal indicative of a property of the sample. In this way, X-ray photon interactions within the detector are also resolved by energy, in addition to pixel location and number of counts. In some embodiments, X-ray photon interactions are identified by comparing the energy of the X-ray photon interactions to a predetermined upper threshold and a predetermined lower threshold.

In another aspect, the TSAXS system is configured to measure the target based on spatial separation levels in one direction but overlapping levels in an orthogonal direction. In some of these embodiments, the value(s) of the one or more parameters of interest are determined in directions in which the diffraction orders are spatially separated. These parameter values are then employed to determine the value(s) of one or more parameters of interest based on the overlap level.

In another aspect, the slits of the beam shaping slit mechanism are positioned in close proximity to the sample under measurement to minimize the expansion of the incident beam spot size defined by the finite source size due to beam divergence. In one example, for a 10 micron x-ray source size and a distance of 25 millimeters between the beam shaping slit and the sample 101, the spread of the beam spot size due to shadows created by the finite source size is approximately 1 micron. In other examples, beam divergence is controlled by a beam shaping slit positioned less than 100 millimeters from the sample.

In yet another aspect, a T-SAXS system is employed to determine a property (e.g., a structural parameter value) of a sample based on one or more diffraction orders of scattered light.

the foregoing is a summary and thus contains, by necessity, simplifications, generalizations, and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting. Other aspects, inventive features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting detailed description set forth herein.

Drawings

FIG. 1 is a diagram illustrating a metrology system 100 configured to perform x-ray scatterometry measurements as described herein.

Fig. 2 depicts the slits of a beam shaping slit mechanism in a position that does not block the incoming beam.

Fig. 3 depicts the slits of a beam shaping slit mechanism in a position blocking a portion of an incoming beam.

FIG. 4 depicts an x-ray illumination beam incident on a wafer at a particular orientation described by angles φ and θ.

FIG. 5 is a diagram illustrating a metrology system 100 configured such that an x-ray optical focus is positioned at or near a detector surface.

Fig. 6 depicts an image 171 of scatter levels measured by a metrology system, such as metrology system 100.

Fig. 7 depicts an image 172 of the scatter level measured by a metrology system, such as metrology system 100.

Fig. 8 depicts a graph 173 of the intensity profile associated with cross-section C of image 172 depicted in fig. 4.

FIG. 9 is a diagram illustrating the elements of the metrology system 100 contained in a vacuum environment separate from the sample under measurement.

FIG. 10 is a diagram illustrating a model building and analysis engine 150 configured to resolve sample parameter values based on x-ray scatterometry data according to the methods described herein.

11A-11C depict isometric, top view, and cross-sectional views, respectively, of a typical 3D flash memory device 190 undergoing measurements in the manner described herein.

FIG. 12 depicts a flow diagram illustrating an exemplary method 300 of measuring a structure based on small footprint x-ray scatterometry measurements as described herein.

Detailed Description

Reference will now be made in detail to background examples and some embodiments of the invention, examples of which are illustrated in the accompanying drawings.

Methods and systems for characterizing dimensions and material properties of semiconductor devices by a transmission small angle x-ray scatterometry (TSAXS) system with a relatively small tool footprint are described herein. Such systems and techniques are employed to measure structural and material characteristics associated with different semiconductor device processes. In some examples, TSAXS is employed to measure critical dimensions, thickness, overlay, and material properties of high aspect ratio semiconductor structures, including, but not limited to, spin transfer torque random access memory (STT-RAM), three-dimensional NAND memory (3D-NAND), or vertical NAND memory (V-NAND), Dynamic Random Access Memory (DRAM), three-dimensional FLASH memory (3D-FLASH), resistive random access memory (Re-RAM), and phase change random access memory (PC-RAM).

The use of high brightness TSAXS enables high flux x-ray radiation penetration into the opaque regions of the target. Examples of geometric parameters that can be measured using x-ray scatterometry include aperture, hole density, line edge roughness, line width roughness, sidewall angle, profile, critical dimensions, overlay, edge placement error, and spacing. Examples of measurable material parameters include electron density. In some examples, x-ray scatterometry enables measurement of features smaller than 50nm, as well as advanced semiconductor structures where measurement of geometric and material parameters are required, such as STT-RAM, V-NAND, DRAM, PC-RAM, and Re-RAM.

FIG. 1 illustrates an embodiment of a T-SAXS metrology tool 100 for measuring characteristics of a sample in at least one novel aspect. As shown in fig. 1, the system 100 may be used to perform T-SAXS measurements over an inspection area 102 of a sample 101 illuminated by an illumination beam spot.

In the depicted embodiment, the metrology tool 100 includes an x-ray illumination source 110 configured to generate x-ray radiation suitable for T-SAXS measurements. In some embodiments, the x-ray illumination source 110 is configured to generate wavelengths between 0.01 and 1 nanometer. In general, any suitable high intensity x-ray illumination source capable of generating high intensity x-rays at flux levels sufficient to achieve high throughput, in-line metrology is contemplated to supply x-ray illumination for T-SAXS measurements. In some embodiments, the x-ray source includes a tunable monochromator that enables the x-ray source to deliver x-ray radiation at different selectable wavelengths.

In some embodiments, one or more x-ray sources emitting radiation with photon energies greater than 15keV are employed to ensure that the x-ray sources supply light at a wavelength that allows for sufficient transmission through the entire device and wafer substrate. By way of non-limiting example, any of a particle accelerator source, a liquid anode source, a rotating anode source, a stationary solid anode source, a micro-focus rotating anode source, a plasma-based source, and an inverse Compton (Compton) source may be used as the x-ray illumination source 110. In one example, a reverse-Compton source available from Lyncean technologies, Inc., Palo Alto, Calif. (USA) is envisioned. An inverse-compton source has the additional advantage of being able to generate x-rays in a range of photon energies, thereby enabling the x-ray source to deliver x-ray radiation at different selectable wavelengths.

Exemplary x-ray sources include electron beam sources configured to bombard solid or liquid targets to stimulate x-ray radiation. Methods and systems for producing high brightness, liquid metal x-ray illumination are described in united states patent No. 7,929,667, issued to scientific inc.2011, 4-19, which is incorporated herein by reference in its entirety.

The X-ray illumination source 110 produces X-ray emissions over a source region having a finite lateral dimension (i.e., a non-zero dimension orthogonal to the beam axis). The focusing optics 111 focus the source radiation onto a metrology target positioned on the sample 101. The finite lateral source size results in a finite spot size 102 on the target defined by rays 117 from the edge of the source. In some embodiments, the focusing optics 111 comprise elliptical focusing optics.

the beam divergence control slit 112 is positioned in the beam path between the focusing optics 111 and the beam shaping slit mechanism 120. The beam divergence control slit 112 limits the divergence of the illumination provided to the sample under measurement. An additional intermediate slit 113 is positioned in the beam path between the beam divergence control slit 112 and the beam shaping slit mechanism 120. The middle slit 113 provides additional beam shaping. In general, however, the middle slot 113 is optional.

A beam shaping slit mechanism 120 is positioned in the beam path immediately before the sample 101. In one aspect, the slits of the beam shaping slit mechanism 120 are positioned in close proximity to the sample 101 to minimize the expansion of the incident beam spot size defined by the finite source size due to beam divergence. In one example, for a 10 micron x-ray source size and a distance of 25 millimeters between the beam shaping slit and the sample 101, the spread of the beam spot size due to the finite source size due to shadowing is approximately 1 micron. In other examples, beam divergence is controlled by a beam shaping slit positioned less than 100 millimeters from the sample 101.

In some embodiments, the beam-shaping slit mechanism 120 includes a plurality of independently actuated beam-shaping slits. In one embodiment, the beam-shaping slit mechanism 120 includes four independently actuated beam-shaping slits. These four beam shaping slits effectively block a portion of the incoming light beam 115 and produce an illumination beam 116 having a box-like illumination cross section.

Fig. 2 and 3 depict end views of the beam shaping slit mechanism 120 depicted in fig. 1 in two different configurations. As illustrated in fig. 2 and 3, the beam axis is perpendicular to the drawing sheet. As depicted in fig. 2, the incoming light beam 115 has a large cross-section. In some embodiments, the incoming light beam 115 has a diameter of approximately 1 millimeter. Furthermore, the position of the incoming beam 115 within beam shaping slits 126-129 may have an uncertainty of approximately 3 millimeters due to beam pointing errors. To accommodate the uncertainty in the size of the incoming beam and the beam position, each slit has a length L of approximately 6 millimeters. As depicted in fig. 2, each slit may be moved in a direction perpendicular to the beam axis. In the illustration of fig. 2, the slits 126-129 are positioned at a maximum distance from the beam axis (i.e., the slits are fully open and they do not restrict light from passing through the beam shaping slit mechanism 120).

Fig. 3 depicts the slits 126-129 of the beam shaping slit mechanism 120 in a position that blocks a portion of the incoming light beam 115 such that the outgoing light beam 116 delivered to the sample under measurement has a reduced size and well-defined shape. As depicted in fig. 3, each of the slits 126-129 has been moved axially inward toward the beam to achieve a desired output beam shape.

The slits 126 to 129 are made of a material that minimizes scattering and effectively blocks incident radiation. Exemplary materials include single crystal materials such as germanium, gallium arsenide, indium phosphide, and the like. Typically, the slit material is split along the crystallographic direction rather than sawed to minimize scattering across the structure boundaries. In addition, the slits are oriented relative to the incoming beam such that the interaction between the incoming radiation and the internal structure of the slit material produces a minimal amount of scattering. A crystal is attached to each slit holder made of a high density material (e.g., tungsten) for completely blocking the x-ray beam on one side of the slit. In some embodiments, each slit has a rectangular cross-section with a width of approximately 0.5 millimeters and a height of approximately 1-2 millimeters. As depicted in fig. 2, the length L of the slit is approximately 6 millimeters.

Generally, x-ray optics shape and direct x-ray radiation to sample 101. In some examples, the x-ray optics include an x-ray monochromator to monochromate an x-ray beam incident on the sample 101. In some examples, the x-ray optics use multi-layer x-ray optics to collimate or focus the x-ray beam onto the measurement region 102 of the sample 101 to less than 1 milliradian divergence. In these examples, the multilayer x-ray optic also functions as a beam monochromator. In some embodiments, the x-ray optics include one or more x-ray collimating mirrors, x-ray apertures, x-ray beam stops, refractive x-ray optics, diffractive optics (e.g., zone plates), Montel (Montel) optics, specular x-ray optics (e.g., grazing incidence ellipsoid mirrors), multi-capillary optics (e.g., hollow capillary x-ray waveguides), multilayer optics or systems, or any combination thereof. Further details are described in U.S. patent publication No. 2015/0110249, the contents of which are incorporated herein by reference in their entirety.

x-ray detector 119 collects X-ray radiation 114 scattered from sample 101 and generates an output signal 135 indicative of a property of sample 101 sensitive to incident X-ray radiation according to a T-SAXS measurement modality. In some embodiments, scattered x-rays 114 are collected by x-ray detector 119, while sample positioning system 140 positions and orients sample 101 to produce angularly resolved scattered x-rays.

In some embodiments, the T-SAXS system includes one or more photon counting detectors with high dynamic range (e.g., greater than 105). In some embodiments, the single photon counting detector detects the location and number of detected photons.

in another aspect, a T-SAXS system is employed to determine a property (e.g., a structural parameter value) of a sample based on one or more diffraction orders of scattered light. As depicted in fig. 1, the metrology tool 100 includes a computing system 130 employed to acquire the signal 135 generated by the detector 119 and determine a property of the sample based at least in part on the acquired signal.

In some examples, T-SAXS-based metrology involves determining the dimensions of a sample by inverse solving a predetermined measurement model using measured data. The measurement model includes several (about ten) adjustable parameters and represents the geometry and optical properties of the sample and the optical properties of the measurement system. Inverse solutions include, but are not limited to, model-based regression, tomography, machine learning, or any combination thereof. In this way, the target profile parameters are estimated by solving the values of a parameterized measurement model that minimizes the error between the measured scattered x-ray intensities and the modeled results.

It may be desirable to perform measurements over a wide range of angles of incidence and azimuth to increase the accuracy and precision of the measured parameter values. This approach reduces the correlation between parameters by expanding the number and diversity of data sets available for analysis to include a variety of large angle, out-of-plane orientations. For example, in the normal orientation, T-SAXS is able to resolve the critical dimensions of a feature, but is largely insensitive to the feature's sidewall angle and height. However, by collecting measurement data over a wide range of out-of-plane angular orientations, the sidewall angle and height of the feature can be resolved. In other examples, measurements performed over a large range of angles of incidence and azimuth provide sufficient resolution and penetration depth to characterize high aspect ratio structures by their entire depth.

A measurement of the intensity of diffracted radiation as a function of the angle of incidence of x-rays with respect to the normal to the wafer surface is collected. The information contained in the multiple diffraction orders is typically unique between each model parameter under consideration. Thus, x-ray scatter produces estimates of the value of the parameter of interest with small errors and reduced parameter dependence.

Each orientation of the illuminating x-ray beam 116 relative to the surface normal of the semiconductor wafer 101 is described by any two angular rotations of the wafer 101 relative to the x-ray illuminating beam 115, or vice versa. In one example, the orientation may be described with respect to a coordinate system fixed to the wafer. FIG. 4 depicts an x-ray illumination beam 116 incident on the wafer 101 at a particular orientation described by an incident angle θ and an azimuthal angle φ. The coordinates are XYZ fixed to the metrology system (e.g., illumination beam 116) and the coordinates are X ' Y ' Z ' fixed to wafer 101. The Y-axis is aligned in a plane with the surface of wafer 101. X and Z are not aligned with the surface of wafer 101. Z ' is aligned with an axis perpendicular to the surface of wafer 101, and X ' and Y ' are in a plane aligned with the surface of wafer 101. As depicted in fig. 4, the x-ray illumination beam 116 is aligned with the Z-axis and thus lies within the XZ plane. The angle of incidence θ describes the orientation of the x-ray illumination beam 116 with respect to the surface normal of the wafer in the XZ plane. In addition, the azimuth angle φ describes the orientation of the XZ plane relative to the X 'Z' plane. θ and φ together uniquely define the orientation of the x-ray illumination beam 116 with respect to the surface of the wafer 101. In this example, the orientation of the x-ray illumination beam relative to the surface of wafer 101 is described by rotation about an axis perpendicular to the surface of wafer 101 (i.e., the Z' axis) and rotation about an axis aligned with the surface of wafer 101 (i.e., the Y axis). In some other examples, the orientation of the x-ray illumination beam relative to the surface of wafer 101 is described by rotation about a first axis aligned with the surface of wafer 101 and another axis aligned with the surface of wafer 101 and perpendicular to the first axis.

In one aspect, the TSAXS measurement system employs hard x-ray illumination (e.g., 15KeV or higher) over a relatively short optical path length (e.g., less than 3 meters from the illumination source to the detector) to measure targets in a range of relatively small dimensions (e.g., approximately 50 nanometers) to relatively large dimensions (e.g., up to 10 microns). In general, the methods and systems described herein enable Q spatial resolution suitable for metrology of semiconductor structures having reduced optical path lengths.

the geometric constraint on the minimum achievable resolution of a TSAXS system is typically characterized by a minimum Q value Qmin. Qmin is expressed by equation (1), where p is the pixel size at the detector, D is the distance between the measured sample and the detector, and λ is the wavelength of the x-ray radiation.

As illustrated by equation (1), as the distance D between the measured sample and the detector decreases, the minimum achievable Q value for a given pixel size increases. For reduced values of D, a proportional reduction in pixel size is required in order to maintain Q resolution (i.e., a small value of Qmin). The metrology of state-of-the-art semiconductor metrology targets currently requires TSAXS systems with Q values less than 0.01nm 1, which cannot currently be achieved by commercially viable TSAXS systems limited by pixel size.

While equation (1) describes the geometric constraint on Q resolution, there are other constraints on Q resolution that must be suppressed to achieve the geometric constraint. In one example, the spatial range limit of the optical focus at the detector may achieve Q resolution. In another example, a Point Spread Function (PSF) limit of the optical system at the detector may achieve Q resolution.

In another aspect, the TSAXS measurements described herein involve illuminating the sample with an x-ray beam focused less than 200 millimeters before the wafer surface, at the detector surface, or at any location between the wafer surface and the detector surface to mitigate optical focus limitations on achievable Q resolution. In general, for metrology applications involving relatively small targets that must be illuminated using the smallest possible illumination spot size, the x-ray beam is focused closer to the wafer surface. Also, for metrology applications involving relatively large targets where high image resolution and photon flux are desired, the x-ray beam is focused closer to the detector.

In some embodiments, the focus of the TSAXS measurement system is positioned at or near the wafer surface for the measurement of relatively small targets (e.g., approximately 50 to 100 nanometers), as illustrated in fig. 1. By positioning the illumination focus at the wafer, the measurement spot size is minimal at the measured structure. This minimization is due to signal contamination of the illumination light spillover onto the structure surrounding the target of interest. This configuration is preferred for smaller sized targets where signal contamination due to limited measurement spot size is limited. However, positioning the illumination focus at the wafer rather than the detector causes an increase in the size of the beam incident on the detector. This increases the likelihood of overlap of the diffracted portions of the incident beam due to beam divergence. This is exacerbated as the distance between the wafer and the detector decreases to a relatively small size (e.g., less than 1 meter), since the spatial separation at the angular level decreases as the distance between the wafer and the detector decreases. However, for small size targets (e.g., less than 100 nanometers), the angular separation between diffraction orders is relatively large and the detector resolution enhancement techniques described herein overcome limitations due to detector focus limitations.

In other embodiments, the focal point of the TSAXS measurement system is positioned at or near the detector surface for measurements of relatively large targets (e.g., approximately 1 to 10 microns), as illustrated in fig. 5. By positioning the illumination focus at the detector, the measurement spot size is minimized at the detector, not at the measured target. This configuration is preferred for relatively large targets where signal contamination due to limited measurement spot size at the wafer is not limited because the risk of signal contamination due to illumination light spilling onto structures surrounding the target of interest is reduced. However, for large and small targets, the angular separation between diffraction orders is relatively small. Thus, the spatial separation of the angular stages at the detector is relatively small. This is exacerbated as the distance between the wafer and the detector is reduced to a relatively small size (e.g., less than 1 meter). By positioning the illumination focus at the detector, the likelihood of overlap of the diffracted portions of the incident beam due to focus limitation is minimized. Furthermore, for relatively large target sizes, the detector resolution enhancement techniques described herein overcome the limitations due to the relatively small spatial separation of stages at the detector.

In general, the position of the optical focus may be adjusted to any position between the measured sample and the detector, while accompanying the previously described advantages/disadvantages trade-off. In general, it is preferable to move the optical focus closer to or in front of the wafer as the target size decreases, and to move the optical focus closer to the detector as the target size increases.

In another aspect, the TSAXS measurement described herein involves a high resolution detector with a small PSF to alleviate detector PSF limitations on achievable Q resolution. Regardless of where the optical focus is positioned relative to the wafer and detector, a high resolution detector is advantageous when the wafer-detector distance D is reduced to a relatively small value (e.g., less than 1 meter).

The Q resolution limit set by the PSF of the system depends on the metrology requirements and the source of the PSF. For example, in the case of weak scattering, in a given Q, the PSF may need to be reduced to 10% in order to resolve the Q. In other examples, in a given Q, the PSF may need to be reduced to 1% in order to resolve the Q. To achieve high Q resolution and the shortest possible wafer-detector distance D, TSAXS systems are designed to minimize the Q-dependent PSF. In some examples, the pixel size of the detector is less than 100 microns and the detector PSF is less than the pixel size such that contamination of neighboring pixels of incident diffracted light from a particular pixel is less than 0.1%.

The detector material is selected to minimize transmission. Moreover, the construction of the detector minimizes PSF broadening within the detector. Thus, the system PSF is independent of the detector position. For example, detection of hard x-rays (e.g., 15KeV or more) using conventional silicon-based detectors suffers from significant Q-independent PSFs. Thus, a large wafer-detector distance D (e.g., 2 meters or more) is required to perform metrology of semiconductor structures. With reduced transmission and backscatter, the PSF limit is suppressed below the geometric limit described by equation (1), enabling metrology of semiconductor structures using a wafer-detector distance D of less than 1 meter (e.g., D as small as 600 millimeters).

In some embodiments, the TSAXS system includes one or more photon counting detectors with high quantum efficiency and dynamic range (e.g., greater than 105) and a thick highly absorbing crystal substrate (e.g., greater than 500 microns thick) that absorbs incident radiation without damage and with minimal parasitic backscattering. In some embodiments, the single photon counting detector detects the location and number of detected photons.

In some embodiments, the zero order beam is collected as well as the higher diffraction orders. The zero order beam is several orders of magnitude stronger than the other orders. If the zero order beam is not completely absorbed in the X-ray sensitive section of the detector, it will scatter and generate spurious signals. The strength of these spurious signals limits the dynamic range of the measurement. For example, if the parasitic signal is 10 "4 of the maximum flux signal (i.e., the zero order signal), then the signal associated with many higher orders will be contaminated. Therefore, it is critical that the detector (e.g., detector 119) exhibit high conversion efficiency of x-rays to electron-hole pairs and high x-ray absorption to increase the effective dynamic range of x-ray metrology.

Exemplary detector materials suitable for small footprint x-ray scatter measurements include cadmium telluride (CdTe), germanium (Ge), and gallium arsenide (GaAs) crystals, among other materials. In some embodiments, the detector material is selected to provide high conversion efficiency in a narrow energy band corresponding to the source energy.

In some embodiments, the thickness of the detector material is selected to achieve a desired absorption of incoming X-rays. In some embodiments, the detector is tilted with respect to the incoming X-ray beam (various diffraction orders) to increase the path length of the X-ray beam through the detector material and, thus, increase the total absorption.

In some embodiments, a dual threshold detector is employed to improve the SNR.

In another aspect, a TSAXS system is employed to determine a property (e.g., a structural parameter value) of a sample based on a plurality of measured diffraction orders. As depicted in fig. 1, the metrology tool 100 includes a computing system 130 employed to acquire the signal 135 generated by the detector 119 and determine a property of the sample based at least in part on the acquired signal.

In TSAXS measurements, a high aspect ratio, fabricated structure diffracts a collimated x-ray beam into diffraction orders. Each diffraction order travels in a particular predictable direction. The angular separation of the diffraction orders is inversely proportional to the lattice constant of the sample divided by the wavelength. The diffraction orders are detected by a detector array placed at a certain distance from the wafer. Each pixel of the detector outputs a signal indicative of the number of photons hitting the pixel.

The intensity of the diffraction orders has the form I (m, n, θ, Φ, λ), where { m, n } is the integer index of the diffraction order, { θ, Φ } is the elevation and azimuth of the incident beam (i.e., the polar coordinates of the incident primary ray relative to the coordinate system fixed to the wafer), and λ is the wavelength of the incident X-rays.

Several noise sources perturb the illumination light as it leaves the illumination and propagates toward the sample. Exemplary disturbances include beam current fluctuations, temperature induced optics drift, and the like. The perturbed incident flux is denoted as F0(1+ n 1).

The target scatters the incident radiation in a manner that depends on the azimuth and elevation of the incident beam. The efficiency of light scattered into orders (m, n) can be defined as Smn (θ, φ). As the diffracted light propagates from the sample to the detector, the light beam passes through other scattering media that similarly affect all orders and have some variation (1+ n2) and spurious noise (n 3). In this way, the total intensity Imn of each stage measured at time t can be expressed by equation (2).

I=S(θ,φ)(1+n)(1+n)Ft+n (2)

Fig. 6 depicts an image 171 of scattered levels measured by a metrology system, such as metrology system 100. As illustrated in fig. 6, a bright spot in the center of the image is associated with a zero order beam.

The intensity of each level can be extracted in a number of ways. In some embodiments, the diffraction orders may be spatially separated at the detector. In these embodiments, the diffraction orders are individually detected by the detector array, and the outputs of the pixels associated with the same diffraction order are combined (i.e., added). In this way, detected diffraction orders are distinguished by accumulating photon counts for pixels associated with each particular diffraction order. This case is more likely to occur when measuring relatively small pitch features or when using beam measurements with relatively small divergence.

in some other embodiments, the diffraction orders overlap spatially. This is typical when performing TSAXS metrology on relatively large targets (e.g., targets having a pitch of 1 micron or more) with a relatively small wafer-detector distance D (e.g., D less than 2 meters) or when using beam measurements with relatively large divergence. In these embodiments, the diffraction orders are separated in Q-space to estimate the value of the structural parameter of interest. In some of these embodiments, the shape of the diffraction orders is estimated based on available beam shape information and an accurate beam model is employed to account for the loss in Q resolution due to overlap. This is particularly important to meet the metering requirements on the device. Some existing metrology systems cannot perform separation of overlapping orders because the systems employ beam stops that prevent access to the beam shape information needed to estimate the shape of the diffraction orders. This system is described in U.S. patent No. 9,606,073 entitled X-ray scatterometry apparatus (Mazor), et al, the contents of which are incorporated herein by reference in their entirety.

When the diffraction orders spatially overlap at the detector, the pixel outputs cannot be combined alone to determine the intensity associated with a particular diffraction order. In these embodiments, a measurement model is employed to deconvolute diffraction orders to identify the measured intensity of each detected diffraction order.

In some embodiments, the overlapped orders are deconvolved based on the measured zero order beam shape. In some embodiments, this deconvolution is performed in real time. The beam profile of higher diffracted orders (i.e., orders greater than zero) is modeled based on the profile of the zero-order beam. Fig. 7 depicts an image 172 of scattered levels measured by a metrology system, such as metrology system 100. Fig. 8 depicts a graph 173 of intensity profiles associated with cross-section C of image 172 depicted in fig. 7. The relatively high intensity zero order beam provides a very accurate beam profile for modeling the higher diffraction orders.

In some embodiments, the intensity of each higher diffraction order is estimated relative to the measured zeroth order by simple intensity division or otherwise. In this way, measurement uncertainty associated with relatively weak, higher order signals is significantly reduced.

By estimating the intensity of the higher diffraction orders based on the simultaneously measured zero order beam, the scatter signal is separated from the data system perturbations during data collection. Perturbations due to misalignment of optical components (e.g., slits, optics, spot shape) and perturbations along the beam path (e.g., n1 and n2) are mitigated in real time. By using all scattered intensities (including the zero order), the dependence of the scattered intensity on the thickness or material density of the measured sample is isolated from flux perturbations before and after the wafer.

The physical transformation of high-energy photons into an electron cloud in the crystal of the detector also sets the detection limit for high-q-resolution, short optical path length TSAXS systems. When the pixel size is small enough to perform short optical path length TAXS metrology of the semiconductor structure, the electron cloud causes single photon events to be detected across several pixels.

in another aspect, the location of the centroid of the electron cloud stimulated by the photon-conversion event is calculated by a detector (e.g., detector 119). The location of the centroid provides the location of the incident photon with sub-pixel accuracy. This effectively reduces the pixel size and achieves a Q resolution that exceeds the geometric constraint described by equation (1). Sub-pixel spatial interpolation as described herein is preferred for TSAXS measurements of semiconductor structures with wafer-detector distances less than 1 meter.

In some embodiments, a TSAXS system with a relatively short optical path length is configured with a horizontal optical path incident on a vertically positioned wafer (i.e., perpendicular to the wafer surface approximately perpendicular to the gravity vector). However, in some other embodiments, TSAXS systems with relatively short optical path lengths are configured to have a vertical optical path incident on a wafer that is horizontally positioned (i.e., perpendicular to the wafer surface approximately parallel to the gravity vector). By orienting the beam lines vertically, a smaller tool footprint can be achieved and wafer handling simplified.

in another aspect, an x-ray detector resolves one or more x-ray photon energies and generates a signal indicative of a property of the sample for each x-ray energy component. In some embodiments, x-ray detector 119 includes any of a CCD array, a microchannel plate, a photodiode array, a microstrip ratio counter, a gas filled ratio counter, a scintillator, or a fluorescent material.

In this way, X-ray photon interactions within the detector are also resolved by energy, in addition to pixel location and number of counts. In some embodiments, X-ray photon interactions are identified by comparing the energy of the X-ray photon interactions to a predetermined upper threshold and a predetermined lower threshold. In one embodiment, this information is communicated to computing system 130 via output signal 135 for further processing and storage.

in some embodiments, the measured target is periodic in one dimension (e.g., a FinFET structure). Thus, the PSF of the TSAXS system at the detector only needs to be minimized in one direction. However, in some other embodiments, the measured target is periodic in two dimensions (e.g., VNAND junctions). In these embodiments, it is advantageous to minimize the PSF of the TSAXS system at the detector in both directions.

In another aspect, the TSAXS system is configured to measure the target based on spatial separation levels in one direction but overlapping levels in an orthogonal direction. In some of these embodiments, the value(s) of the one or more parameters of interest are determined in directions in which the diffraction orders are spatially separated. These parameter values are then employed to determine the value(s) of one or more parameters of interest based on the overlap level.

In some embodiments, x-ray illumination source 110, focusing optics 111, slits 112 and 113, or any combination thereof, are maintained in the same atmospheric environment (e.g., a gas flushing environment) as sample 101. However, in some embodiments, the optical path length between and within any of these elements is long and X-rays scattered in air contribute noise to the image on the detector. Thus, in some embodiments, any of x-ray illumination source 110, focusing optics 111, and slits 112 and 113 are maintained in a partial vacuum environment separated from each other and from the sample (e.g., sample 101) by a vacuum window.

Similarly, in some embodiments, the x-ray detector 119 is maintained in the same atmospheric environment (e.g., a gas flushing environment) as the sample 101. However, in some embodiments, the distance between sample 101 and x-ray detector 119 is long and x-rays scattered in air contribute noise to the detected signal. Thus, in some embodiments, one or more of the x-ray detectors (e.g., detector 119) are maintained in a partial vacuum environment separated from the sample (e.g., sample 101) by a vacuum window.

FIG. 9 is a diagram illustrating vacuum chamber 160 containing x-ray illumination source 110, vacuum chamber 162 containing focusing optics 111, and vacuum chamber 163 containing slits 112 and 113. The opening of each vacuum chamber is covered by a vacuum window. For example, the opening of the vacuum chamber 160 is covered by a vacuum window 161. Similarly, the opening of vacuum chamber 163 is covered by vacuum window 164. The vacuum window may be constructed of any suitable material that is substantially transparent to x-ray radiation (e.g., Kapton (Kapton), beryllium, etc.). A suitable vacuum environment is maintained within each vacuum chamber to minimize scattering of the illumination beam. A suitable vacuum environment may include any suitable level of vacuum, any suitable purged environment including a gas having a small atomic number (e.g., helium). In this way, as many beam paths as possible are positioned in the vacuum to maximize flux and minimize scattering.

In some embodiments, the entire optical system containing sample 101 is maintained in a vacuum. In general, however, the cost associated with maintaining sample 101 in vacuum is high due to the complexity associated with the construction of sample positioning system 140.

In yet another aspect, the beam shaping slit mechanism 120 is mechanically integrated with the vacuum chamber 163 to minimize the beam path length subject to the atmospheric environment. In general, it may be desirable to encapsulate as many beams as possible in a vacuum before impinging on sample 101. In some embodiments, the vacuum beam line extends into the hollow cylindrical cavity at the input of the beam shaping slit mechanism 120. A vacuum window 164 is positioned within beam shaping slit mechanism 120 at the output of vacuum chamber 163 such that incoming beam 115 remains in vacuum within a portion of beam shaping slit mechanism 120, then passes through vacuum window 164 prior to interaction with any of slits 126-129 and sample 101.

In the embodiment depicted in fig. 1, the focusing optics 111, slits 112 and 113, and beam shaping slit mechanism 120 are maintained in a controlled environment (e.g., vacuum) within the flight tube 118.

In yet another aspect, the computing system 130 is configured to generate a structural model (e.g., a geometric model, a material model, or a combined geometric and material model) of the measured structure of the sample, generate a TSAXS response model including at least one geometric parameter from the structural model, and resolve the at least one sample parameter value by performing a fitting analysis of the TSAXS measurement data using the TSAXS response model. An analysis engine is used to compare the simulated TSAXS signal to the measured data, thereby allowing the geometry as well as material properties (e.g., electron density of the sample) to be determined. In the embodiment depicted in fig. 1, computing system 130 is configured as a model building and analysis engine configured to implement model building and analysis functionality as described herein.

FIG. 10 is a diagram illustrating an exemplary model building and analysis engine 150 implemented by computing system 130. As depicted in fig. 10, the model building and analysis engine 150 includes a structure model building module 151 that generates a structure model 152 of the measured structure of the sample. In some embodiments, the structural model 152 also contains material properties of the sample. The structural model 152 is received as input to the TSAXS response function building module 153. The TSAXS response function creation module 153 generates a TSAXS response function model 155 based at least in part on the structural model 152. In some examples, the TSAXS response function model 155 is based on an x-ray form factor,

Where F is the shape factor, q is the scattering vector, and ρ (r) is the electron density of the sample in spherical coordinates. The x-ray scattering intensity is then given by

The TSAXS response function model 155 is received as an input to the fitting analysis module 157. Fit analysis module 157 compares the modeled TSAXS response to the corresponding measured data to determine the geometry of the sample as well as the material properties.

In some examples, fitting of the modeling data to the experimental data is achieved by minimizing the chi-squared value. For example, for a TSASX measurement, the chi-squared value may be defined as

Therein is a measured TSAXS signal 135 in "channel" j, where the index j describes a set of system parameters, such as diffraction order, energy, angular coordinate, etc. Is a modeled TSAXS signal Sj for "pass" j evaluated for a set of structural (target) parameters v1, wherein these parameters describe geometry (CD, sidewall angle, overlap, etc.) and material (electron density, etc.). σ SAXS, j is the uncertainty associated with the jth channel. NSAXS is the total number of channels in x-ray metrology. L is the number of parameters characterizing the metrology target.

Equation (5) assumes that the uncertainties associated with the different channels are uncorrelated. In instances where uncertainties associated with different channels are correlated, a covariance between the uncertainties may be calculated. In these examples, the chi-squared value measured for x-ray scatterometry may be expressed as

Where VSAXS is the covariance matrix of SAXS channel uncertainty and T represents the transpose.

In some examples, the fit analysis module 157 resolves the at least one sample parameter value by performing a fit analysis on the TSAXS measurement data 135 using the TSAXS response model 155. In some examples, optimization

As described previously, fitting of TSAXS data is achieved by minimizing the chi-squared value. In general, however, the fitting of the TSAXS data may be accomplished by other functions.

Fitting of TSAXS data is advantageous for any type of TSAXS technique that provides sensitivity to geometric and/or material parameters of interest. The sample parameters can be deterministic (e.g., CD, SWA, etc.) or statistical (e.g., root mean square height of sidewall roughness, roughness correlation length, etc.) so long as an appropriate model describing the TSAXS beam interaction with the sample is used.

In general, the computing system 130 is configured to access the model parameters in real time using Real Time Critical Dimension (RTCD), or it may access a pre-computed model library to determine the value of at least one sample parameter value associated with the sample 101. In general, some form of CD engine may be used to evaluate the difference between the assigned CD parameter of the sample and the CD parameter associated with the measured sample. Exemplary methods and systems for calculating sample parameter values are described in U.S. patent No. 7,826,071, issued to scientific inc 11/2 2010, which is incorporated herein by reference in its entirety.

In some examples, model building and analysis engine 150 improves the accuracy of the measured parameters by any combination of side-fed analysis, feed-forward analysis, and parallel analysis. Side-fed analysis refers to acquiring multiple data sets on different areas of the same sample and passing a common parameter determined from a first data set onto a second data set for analysis. Feed forward analysis refers to acquiring data sets on different samples and forward passing a common parameter to subsequent analysis using a step-by-step replication exact parameter feed forward method. Parallel analysis refers to the application of a non-linear fitting method to multiple data sets in parallel or simultaneously, where at least one common parameter is coupled during fitting.

Multi-tool and structural analysis refers to feed-forward, side-fed, or parallel analysis based on regression, lookup tables (i.e., "library" matching), or another fitting procedure of multiple data sets. Exemplary methods and systems for multi-tool and structural analysis are described in U.S. patent No. 7,478,019, issued to scientific inc at 1-13 of 2009, which is incorporated herein by reference in its entirety.

In one further aspect, the metrology tool 100 includes a computing system (e.g., computing system 130) configured to implement beam control functionality as described herein. In the embodiment depicted in fig. 1, the computing system 130 is configured as a beam controller operable to control any illumination property, such as intensity, divergence, spot size, polarization, spectrum, and positioning of the incident illumination beam 117.

As illustrated in fig. 1, the computing system 130 is communicatively coupled to the detector 119. The computing system 130 is configured to receive measurement data 135 from the detector 119. In one example, the measurement data 135 includes an indication of the measured response (i.e., the intensity of the diffraction order) of the sample. Based on the distribution of the measured response over the surface of the detector 119, the location and area of the illumination beam 116 incident on the sample 101 is determined by the computing system 130. In one example, pattern recognition techniques are applied by the computing system 130 to determine the location and area of the illumination beam 116 incident on the sample 101 based on the measurement data 135. In some examples, the computing system 130 communicates a command signal 137 to the illumination source 110 to select a desired illumination wavelength and communicates a command signal 136 to the beam shaping mechanism 120 to redirect and reshape the illumination beam 116 such that the incident illumination beam 116 reaches a desired position and angular orientation relative to the sample 101. In some other examples, computing system 130 communicates command signals to wafer positioning system 140 to position and orient sample 101 such that incident illumination beam 116 reaches a desired position and angular orientation relative to sample 101.

in another aspect, the x-ray scatterometry measurement data is used to generate an image of the measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, the TSAXS response function model is generalized to describe scattering from a generic electron density mesh. This model is matched to the measured signals while constraining the modeled electron density in this mesh to implement continuous and sparse edges providing a three-dimensional image of the sample.

While model-based geometric parameter inversion is preferred for Critical Dimension (CD) metrology based on TSAXS measurements, a map of the sample generated from the same TSAXS data can be used to identify and correct model errors when the measured sample deviates from the assumptions of the geometric model.

In some examples, the images are compared to structural properties estimated from model-based geometric parametric inversion of the same scatterometry measurement data. The differences are used to update the geometric model of the measured structure and improve measurement performance. The ability to converge on an accurate parametric measurement model is particularly important when measuring integrated circuits to control, monitor, and troubleshoot their device processes.

In some examples, the image is a two-dimensional (2-D) map of electron density, absorption, complex refractive index, or a combination of these material properties. In some examples, the image is a three-dimensional (3-D) map of electron density, absorption, complex refractive index, or a combination of these material properties. The graph is generated using relatively few physical constraints. In some examples, one or more parameters of interest, such as Critical Dimension (CD), sidewall angle (SWA), overlay, edge placement error, pitch walk (pitch walk), etc., are estimated directly from the resulting map. In some other examples, the map may be used to debug a wafer process when the sample geometry or material deviates outside of the range of expected values as contemplated by the parametric structure model for model-based CD measurement. In one example, the difference between the graph and a rendition of the structure predicted by the parametric structure model from its measured parameters is used to update the parametric structure model and improve its measurement performance. Further details are described in U.S. patent publication No. 2015/0300965, the contents of which are incorporated herein by reference in their entirety. Additional details are described in U.S. patent publication No. 2015/0117610, the contents of which are incorporated herein by reference in their entirety.

In another aspect, a model building and analysis engine 150 is employed to generate a model for combined x-ray and optical measurement analysis. In some examples, the optical simulation is based on, for example, Rigorous Coupled Wave Analysis (RCWA), in which Maxwell's equations are solved to calculate optical signals, such as reflectivity for different polarizations, ellipsometric parameters, phase variations, and the like.

Values for one or more parameters of interest are determined based on a combined fit analysis of detected intensities and detected optical intensities of x-ray diffraction orders at a plurality of different angles of incidence using a combined geometric parameterized response model. The optical intensity is measured by an optical metrology tool that may or may not be mechanically integrated with an x-ray metrology system, such as system 100 depicted in fig. 1. Further details are described in U.S. patent publication No. 2014/0019097 and U.S. patent publication No. 2013/0304424, the contents of each of which are incorporated herein by reference in their entirety.

In some embodiments, metrology targets characterized by x-ray scatterometry measurements as described herein are located within scribe lanes of a measured wafer. In these embodiments, the metrology target is sized to fit within the width of the scribe lane. In some examples, the scribe lane width is less than 80 microns. In some examples, the scribe lanes are less than 50 microns. Generally, the width of scribe lanes used in semiconductor fabrication tends to be small.

In some embodiments, metrology targets characterized by x-ray scatterometry measurements as described herein are located within the active die area of the measured wafer and are part of the functional integrated circuits (e.g., memory, image sensors, logic devices, etc.).

In general, metrology targets are characterized by an aspect ratio defined as the maximum height dimension (i.e., the dimension perpendicular to the wafer surface) of the metrology target divided by the maximum lateral extent dimension (i.e., the dimension aligned with the wafer surface). In some embodiments, the measured metrology target has an aspect ratio of at least 20. In some embodiments, the metrology target has an aspect ratio of at least 40.

11A-11C depict isometric, top view, and cross-sectional views, respectively, of a typical 3D flash memory device 190 undergoing measurements in the manner described herein. The total height (or equivalently, depth) of memory device 190 ranges from 1 micron to several microns. Memory device 190 is a vertically fabricated device. A vertical fabrication device, such as memory device 190, rotates a conventional flat memory device substantially 90 degrees with the bit lines and cell strings oriented vertically (perpendicular to the wafer surface). To provide sufficient memory capacity, a large number of alternating layers of different materials are deposited on the wafer. This requires that the patterning process perform well to depths of several microns for structures having a maximum lateral extent of 100 nanometers or less. Thus, an aspect ratio of 25 to 1 or 50 to 1 is not uncommon.

It should be recognized that the various steps described throughout this disclosure may be performed by a single computer system 130 or, alternatively, by multiple computer systems 130. Moreover, different subsystems of system 100 (e.g., sample positioning system 140) may include a computer system suitable for carrying out at least a portion of the steps described herein. Accordingly, the foregoing description is not to be construed as limiting, but merely as illustrative of the present invention. Further, the one or more computing systems 130 may be configured to perform any other step(s) of any of the method embodiments described herein.

Additionally, the computer system 130 may be communicatively coupled to the detector 119 and illumination optics in any manner known in the art. For example, one or more computing systems 130 may be coupled to a computing system associated with the detector 119. In another example, detector 119 may be directly controlled by a single computer system coupled to computer system 130.

computer system 130 may be configured to receive and/or acquire data or information from subsystems of the system, such as detector 119 and the like, over a transmission medium that may include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between computer system 130 and other subsystems of system 100.

The computer system 130 of the metrology system 100 may be configured to receive and/or acquire data or information (e.g., measurements, modeling inputs, modeling results, etc.) from other systems over a transmission medium that may include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other systems (e.g., the memory on-board metering system 100, external memory, or external systems). For example, computing system 130 may be configured to receive measurement data (e.g., signal 135) from a storage medium (i.e., memory 132 or 180) via a data link. For example, the intensity measured by the detector 119 may be stored in a permanent or semi-permanent memory device (e.g., memory 132 or 180). In this regard, the measurement results may be imported from on-board memory or from an external memory system. Also, computer system 130 may send data to other systems via a transmission medium. For example, the sample parameter values 170 determined by the computer system 130 may be stored in a permanent or semi-permanent memory device (e.g., memory 180). In this regard, the measurement may be exported to another system.

Computing system 130 may include, but is not limited to, a personal computer system, a host computer system, a workstation, an image computer, a parallel processor, or any other device known in the art. In general, the term "computing system" may be broadly defined to encompass any device having one or more processors that execute instructions from a memory medium.

The program instructions 134 embodying the methods such as those described herein may be transmitted over a transmission medium such as a wire, cable or wireless transmission link. For example, as illustrated in FIG. 1, program instructions stored in memory 132 are transmitted to processor 131 over bus 133. The program instructions 134 are stored in a computer-readable medium, such as the memory 132. Exemplary computer readable media include read-only memory, random-access memory, magnetic or optical disks or tape.

In some embodiments, scatterometry analysis as described herein is performed as part of a device process tool. Examples of device process tools include, but are not limited to, photolithography exposure tools, film deposition tools, implantation tools, and etching tools. In this way, the results of the TSAXS analysis are used to control the plant process. In one example, TSAXS measurement data collected from one or more targets is sent to a plant process tool. The TSAXS measurement data is analyzed as described herein and the results used to adjust the operation of the plant process tool.

Scatterometry measurements as described herein may be used to determine characteristics of various semiconductor structures. Exemplary structures include, but are not limited to, finfets, low-dimensional structures (e.g., nanowires or graphene), sub-10 nm structures, photolithographic structures, through-substrate vias (TSVs), memory structures (e.g., DRAM 4F2, FLASH, MRAM), and high aspect ratio memory structures. Exemplary structural characteristics include, but are not limited to, geometric parameters (e.g., line edge roughness, line width roughness, pore diameter, pore density, sidewall angle, profile, critical dimension, pitch) and material parameters (e.g., electron density, composition, grain structure, morphology, stress, strain, and elemental identification).

Fig. 14 illustrates a method 300 suitable for implementation by the metrology system 100 of the present invention. In one aspect, it should be appreciated that the data processing blocks of method 300 may be carried out via pre-programmed algorithms executed by one or more processors of computing system 130. While the following description is presented in the context of a metrology system 100, it should be recognized herein that the particular structural aspects of metrology system 100 are not meant to be limiting and should be interpreted as illustrative only.

In block 301, a measurement target formed on a wafer surface is illuminated with a beam of x-ray radiation at an energy level of 15kev or higher.

In block 302, intensities associated with a plurality of diffraction orders of an amount of radiation scattered from a measurement target are detected in response to an incident beam. The optical path length between the illumination source and the detector is less than 3 meters. In addition, two or more of the plurality of diffraction orders spatially overlap on the detector surface.

in block 303, the intensity of each of the overlapping diffraction orders is determined based on the beam shape of the zero diffraction order.

In block 304, a value of a parameter of interest associated with the measurement target is determined based on the intensities of the plurality of diffraction orders.

As described herein, the term "critical dimension" includes any critical dimension of a structure (e.g., bottom critical dimension, middle critical dimension, top critical dimension, sidewall angle, grating height, etc.), a critical dimension between any two or more structures (e.g., distance between two structures), and a displacement between two or more structures (e.g., superimposed displacement between superimposed grating structures, etc.). The structure may comprise a three-dimensional structure, a patterned structure, an overlying structure, and the like.

As described herein, the term "critical dimension application" or "critical dimension measurement application" includes any critical dimension measurement.

As described herein, the term "metrology system" includes any system employed, at least in part, to characterize a sample in any aspect, including critical dimension applications and overlay metrology applications. However, such technical terms do not limit the scope of the term "metering system" as described herein. Additionally, the metrology systems described herein may be configured to measure patterned wafers and/or unpatterned wafers. The metrology system may be configured as an LED inspection tool, edge inspection tool, backside inspection tool, macro inspection tool, or multi-mode inspection tool (involving data from one or more platforms simultaneously), and any other metrology or inspection tool that benefits from the measurement techniques described herein.

Various embodiments are described herein with respect to a semiconductor processing system (e.g., an inspection system or a lithography system) that can be used to process a sample. The term "specimen" is used herein to refer to a wafer, reticle, or any other specimen that can be processed (e.g., printed or inspected for defects) by means known in the art.

As used herein, the term "wafer" generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates are typically found and/or processed in a semiconductor fabrication facility. In some cases, the wafer may include only the substrate (i.e., a bare wafer). Alternatively, the wafer may include one or more layers of different materials formed on the substrate. One or more layers formed on the wafer may be "patterned" or "unpatterned". For example, a wafer may include a plurality of dies having repeatable pattern features.

The "reticle" may be a reticle at any stage of the reticle device process or a finished reticle that may or may not be released for use in a semiconductor fabrication facility. A reticle or "mask" is generally defined as a substantially transmissive substrate having substantially opaque regions formed thereon and arranged in a pattern. The substrate may comprise, for example, a glass material, such as amorphous SiO 2. A reticle may be disposed over a wafer covered with resist during an exposure step of a photolithography process so that a pattern on the reticle may be transferred to the resist.

One or more layers formed on the wafer may be patterned or unpatterned. For example, a wafer may include a plurality of dies each having a repeatable pattern of features. The formation and processing of such material layers may ultimately result in a completed device. Many different types of devices may be formed on a wafer, and the term wafer as used herein is intended to encompass wafers on which any type of device known in the art is fabricated.

In one or more exemplary embodiments, the functions described may be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer-readable medium. Computer-readable media includes both computer storage media and communication media, including any medium that facilitates transfer of a computer program from one location to another. A storage media may be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media can comprise RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code means in the form of instructions or data structures and that can be accessed by a general-purpose or special-purpose computer, or a general-purpose or special-purpose processor. Also, any connection is properly termed a computer-readable medium. For example, if the software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, Digital Subscriber Line (DSL), or wireless technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of medium. Disk and disc, as used herein, includes Compact Disc (CD), laser disc, XRF disc, Digital Versatile Disc (DVD), floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media.

Although certain specific embodiments are described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of the various features of the described embodiments can be practiced without departing from the scope of the invention as set forth in the claims.

30页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于使用定量红外热成像检查复合材料结构的设备、系统和方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类