Semiconductor device and method for manufacturing the same

文档序号:1848390 发布日期:2021-11-16 浏览:23次 中文

阅读说明:本技术 半导体器件及其制造方法 (Semiconductor device and method for manufacturing the same ) 是由 高琬贻 林洪正 张哲豪 卢永诚 徐志安 于 2021-02-01 设计创作,主要内容包括:本文提出了半导体器件及其制造方法,其中制造了用于纳米结构的内部间隔件。在实施方式中,将电介质材料沉积用于内部间隔件,然后进行处理。所述处理可添加材料并导致体积膨胀,以闭合可能干扰后续工艺的任何缝隙。(Semiconductor devices and methods of fabricating the same are presented, in which an internal spacer for nanostructures is fabricated. In an embodiment, a dielectric material is deposited for the interior spacers and then processed. The treatment may add material and cause volume expansion to close any gaps that may interfere with subsequent processing.)

1. A method of manufacturing a semiconductor device, the method comprising:

providing a semiconductor structure comprising first and second semiconductor layers alternately stacked;

horizontally recessing the first semiconductor layer;

forming a first internal spacer on a recessed surface of the first semiconductor layer and a sidewall of the second semiconductor layer; and

performing an annealing process on the first interior spacer to form a second interior spacer having a greater oxygen content than the first interior spacer.

2. The method of claim 1, wherein an oxygen content of the second interior spacer is in a range of 10% to 50% and a nitride content of the second interior spacer is in a range of 5% to 50%.

3. The method of claim 1, wherein the oxygen content of the second interior spacer is in a range of 30% to 50%.

4. The method of claim 1, wherein a nitride content of the second interior spacer is in a range of 20% to 50%.

5. The method of claim 1, wherein performing the annealing process closes a gap within the first interior spacer.

6. The method of claim 1, wherein said forming said first internal spacer is performed at least in part with an atomic layer deposition process.

7. The method of claim 6, wherein the atomic layer deposition process utilizes ammonia as a first precursor and trichloro [ (trichlorosilane) methyl ] silane as a second precursor.

8. A method of manufacturing a semiconductor device, the method comprising:

depositing a layer stack, wherein the layer stack comprises alternating layers of a first semiconductor material and a second semiconductor material;

patterning fins from the layer stack;

etching the first semiconductor material within the fins to form first recesses;

filling at least a portion of the first recess with a first dielectric material, the first dielectric material comprising a gap after filling at least the portion of the first recess; and

closing a gap within the first recess by changing at least a portion of the first dielectric material to a second dielectric material.

9. The method of claim 8, wherein closing the gap further comprises annealing the first dielectric material.

10. The method of claim 9, wherein the first dielectric material comprises silicon carbonitride.

Background

Semiconductor devices are used in various electronic applications such as personal computers, cellular phones, digital cameras, and other electronic devices. Semiconductor devices are generally manufactured by: an insulating or dielectric layer, a conductive layer, and a semiconductor layer are sequentially deposited on a semiconductor substrate, and various material layers are patterned using photolithography to form circuit components and elements thereon.

The semiconductor industry continues to increase the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continuing to reduce minimum feature sizes, which allows more components to be integrated into a given area. However, as the minimum feature size decreases, other problems arise that should be addressed.

Disclosure of Invention

According to an embodiment of the present disclosure, there is provided a method of manufacturing a semiconductor device, the method including:

providing a semiconductor structure comprising first and second semiconductor layers alternately stacked;

horizontally recessing the first semiconductor layer;

forming a first internal spacer on a recessed surface of the first semiconductor layer and a sidewall of the second semiconductor layer; and

performing an annealing process on the first interior spacer to form a second interior spacer having a greater oxygen content than the first interior spacer.

According to another embodiment of the present disclosure, there is provided a method of manufacturing a semiconductor device, the method including:

depositing a layer stack, wherein the layer stack comprises alternating layers of a first semiconductor material and a second semiconductor material;

patterning fins from the layer stack;

etching the first semiconductor material within the fins to form first recesses;

filling at least a portion of the first recess with a first dielectric material, the first dielectric material comprising a gap after filling at least the portion of the first recess; and

closing a gap within the first recess by changing at least a portion of the first dielectric material to a second dielectric material.

According to another embodiment of the present disclosure, there is provided a semiconductor device including:

a first nanostructure surrounded by a gate dielectric;

a second nanostructure on the first nanostructure, wherein the second nanostructure is surrounded by the gate dielectric; and

an interior spacer located between the first and second nanostructures, the interior spacer having a recessed surface with a depth of less than about 4.3 nm.

Drawings

Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that the various features are not drawn to scale in accordance with standard practice in the industry. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

Fig. 1 illustrates an example of a nanostructured field effect transistor (nanofet) in a three-dimensional view according to some embodiments.

Fig. 2, 3, 4, 5, 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 11C, 11D, 11E, 11F, 11G, 11H, 11I, 12A, 12B, 12C, 12D, 12E, 13A, 13B, 13C, 13D, 14A, 14B, 14C, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 19C, 20A, 20B, 20C, 21A, 21B and 21C are cross-sectional views of FETs at intermediate stages of fabrication at a nano-meter according to some embodiments.

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, forming a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Additionally, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Furthermore, spatially relative terms, such as "below," "in.. below," "in.. low," "above," "in.. high," etc., may be used herein to describe one element or feature's relationship to another element or feature(s) as illustrated for convenience of description. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Embodiments are described below in the particular context of a die including a nanofet fabricated using 5nm processing nodes. However, various embodiments may be applicable to dies that include other types of transistors (e.g., fin field effect transistors (finfets), planar transistors, etc.) instead of or in combination with nanofets and any suitable processing nodes (e.g., 3nm processing nodes).

Fig. 1 illustrates an example of a nano-FET (e.g., a nano-wire FET, a nano-sheet FET, etc.) in a three-dimensional view, in accordance with some embodiments. A nanofet includes nanostructures 55 (e.g., nanoplates, nanowires, etc.) over fins 66 on a substrate 50 (e.g., a semiconductor substrate), where the nanostructures 55 serve as channel regions of the nanofet. Nanostructures 55 may comprise p-type nanostructures, n-type nanostructures, or a combination thereof. STI regions 68 are disposed between adjacent fins 66, which may protrude above and from between adjacent STI regions 68. Although STI regions 68 are depicted/illustrated as being separate from substrate 50, as used herein, the term "substrate" may refer to a semiconductor substrate alone or in combination with isolation regions. Additionally, although the bottom portion of the fin 66 is shown as a single continuous material with the substrate 50, the bottom portion of the fin 66 and/or the substrate 50 may comprise a single material or multiple materials. In the context, fin 66 refers to the portion extending between adjacent STI regions 68.

Gate dielectric layer 100 is over the top surface of fin 66 and along the top surface, sidewalls, and bottom surface of nanostructures 55. A gate electrode 102 is over the gate dielectric layer 100. Epitaxial source/drain regions 92 are disposed on fin 66 on opposite sides of gate dielectric layer 100 and gate electrode 102.

Fig. 1 further shows a reference cross section used in subsequent figures. The cross-section a-a' is along the longitudinal axis of the gate electrode 98 and in a direction, for example, perpendicular to the direction of current flow between the epitaxial source/drain regions 92 of the nanofet. Cross-section B-B 'is perpendicular to cross-section a-a' and parallel to the longitudinal axis of fin 66 of the nanofet, and in the direction of current flow between, for example, epitaxial source/drain regions 92 of the nanofet. Cross section C-C 'is parallel to cross section a-a' and extends through the epitaxial source/drain regions of the nanofet. For clarity, the subsequent figures refer to these reference cross sections.

Some embodiments discussed herein are discussed in the context of a nanofet formed using a gate-last process. In other embodiments, a front gate process may be used. Also, some embodiments contemplate aspects for use in planar devices such as planar FETs or fin field effect transistors (finfets).

Fig. 2-21C are cross-sectional views of intermediate stages in fabricating a nano-FET according to some embodiments. Fig. 2 to 5, 6A, 11A, 13A, 14A, 15A, 16A, 17A, 18A, 19A and 20A show a reference cross section a-a' shown in fig. 1. Fig. 6B, 7B, 8B, 9B, 10B, 11C, 13B, 14B, 15B, 16B, 17B, 18B, 19B, and 20B illustrate a reference cross-section B-B' shown in fig. 1. Fig. 7A, 8A, 9A, 10A, 11A, 13C, 19C and 20C show the reference cross-section C-C' shown in fig. 1.

In fig. 2, a substrate 50 is provided. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g., with p-type or n-type dopants) or undoped. The substrate 50 may be a wafer, such as a silicon wafer. Generally, an SOI substrate is a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a Buried Oxide (BOX) layer, a silicon oxide layer, or the like. The insulating layer is disposed on a substrate, typically a silicon or glass substrate. Other substrates, such as multilayer or gradient substrates, may also be used. In some embodiments, the semiconductor material of the substrate 50 may include silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including silicon germanium, gallium arsenic phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium phosphide and/or gallium indium arsenic phosphide; or a combination thereof.

The substrate 50 has an N-type region 50N and a P-type region 50P. The N-type region 50N may be used to form an N-type device (e.g., NMOS transistor), such as an N-type nanofet, and the P-type region 50P may be used to form a P-type device (e.g., PMOS transistor), such as a P-type nanofet. The N-type region 50N may be physically separated from the P-type region 50P (as shown by the spacer 20), and any number of device features (e.g., other active devices, doped regions, isolation structures, etc.) may be disposed between the N-type region 50N and the P-type region 50P. Although one N-type region 50N and one P-type region 50P are shown, any number of N-type regions 50N and P-type regions 50P may be provided.

Further in fig. 2, a multi-layer stack 64 is formed over the substrate 50. The multilayer stack 64 includes alternating layers of first semiconductor layers 51A-51C (collectively referred to as first semiconductor layers 51) and second semiconductor layers 53A-53C (collectively referred to as second semiconductor layers 53). For illustrative purposes and as discussed in more detail below, the second semiconductor layer 53 will be removed and the first semiconductor layer 51 will be patterned to form a channel region of the nanofet in the P-type region 50P. Also, the first semiconductor layer 51 will be removed and the second semiconductor layer 53 will be patterned to form a channel region of the nano-FET in the N-type region 50N. However, in some embodiments, the first semiconductor layer 51 may be removed and the second semiconductor layer 53 may be patterned to form a channel region of the nano-FET in the N-type region 50N, and the second semiconductor layer 53 may be removed and the first semiconductor layer 51 may be patterned to form a channel region of the nano-FET in the P-type region 50P. In other embodiments, the first semiconductor layer 51 may be removed and the second semiconductor layer 53 may be patterned to form a channel region of the nano-FET in both the N-type region 50N and the P-type region 50P. In other embodiments, the second semiconductor layer 53 may be removed and the first semiconductor layer 51 may be patterned to form a channel region of the nanofet in both the N-type region 50N and the P-type region 50P.

For illustration purposes, the multilayer stack 64 is shown as including a first semiconductor layer 51 and a second semiconductor layer 53 of three layers each. In some embodiments, the multilayer stack 64 can include any number of first and second semiconductor layers 51, 53. Each of the layers of the multilayer stack 64 may be epitaxially grown using a process such as Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), Vapor Phase Epitaxy (VPE), Molecular Beam Epitaxy (MBE), and the like. In various embodiments, the first semiconductor layer 51 may be formed of a first semiconductor material (e.g., silicon germanium, etc.) suitable for a p-type nano-FET, and the second semiconductor layer 53 may be formed of a second semiconductor material (e.g., silicon carbide, etc.) suitable for an n-type nano-FET. For illustrative purposes, the multi-layer stack 64 is shown with the bottommost semiconductor layer suitable for a p-type nanofet. In some embodiments, the multi-layer stack 64 may be formed such that the lowest layer is a semiconductor layer suitable for an n-type nanofet.

The first semiconductor material and the second semiconductor material may be materials having high etch selectivity to each other. Thus, the first semiconductor layer 51 of the first semiconductor material may be removed without significantly removing the second semiconductor layer 53 of the second semiconductor material in the N-type region 50N, thereby allowing the second semiconductor layer 53 to be patterned to form a channel region of the N-type NSFETS. Similarly, the second semiconductor layer 53 of second semiconductor material may be removed without significantly removing the first semiconductor layer 51 of first semiconductor material in the P-type region 50P, thereby allowing patterning of the first semiconductor layer 51 to form the channel region of the P-type NSFETS.

Referring now to fig. 3, according to some embodiments, fins 66 are formed in substrate 50 and nanostructures 55 are formed in multilayer stack 64. In some embodiments, the nanostructures 55 and fins 66 may be formed in the multilayer stack 64 and the substrate 50 by etching trenches in the multilayer stack 64 and the substrate 50, respectively. The etch can be any acceptable etch process, such as Reactive Ion Etch (RIE), Neutral Beam Etch (NBE), etc., or combinations thereof. The etching may be anisotropic. Forming the nanostructures 55 by etching the multi-layer stack 64 may further define first nanostructures 52A-52C (collectively first nanostructures 52) from the first semiconductor layer 51 and define second nanostructures 54A-54C (collectively second nanostructures 54) from the second semiconductor layer 53. The first nanostructures 52 and the second nanostructures 54 may be further collectively referred to as nanostructures 55.

The fins 66 and nanostructures 55 may be patterned by any suitable method. For example, the fin 66 and the nanostructures 55 may be patterned using one or more photolithography processes, including double patterning or multiple patterning processes. Typically, double patterning or multiple patterning processes combine lithographic and self-aligned processes, allowing for the creation of patterns with, for example, a pitch that is less than that obtainable using a single direct lithographic process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the remaining spacers can then be used to pattern the fins 66.

For purposes of illustration, fig. 3 shows that fins 66 in N-type region 50N and P-type region 50P have substantially equal widths. In some embodiments, the width of the fins 66 in the N-type region 50N may be greater than or less than the width of the fins 66 in the P-type region 50P. Further, although each of the fins 66 and nanostructures 55 are shown as having a uniform width throughout the figures, in other embodiments, the fins 66 and/or nanostructures 55 may have tapered sidewalls such that the width of each of the fins 66 and/or nanostructures 55 continuously increases in a direction toward the substrate 50. In such embodiments, each of the nanostructures 55 may have a different width and be trapezoidal.

In fig. 4, Shallow Trench Isolation (STI) regions 68 are formed near the fins 66. STI regions 68 may be formed by depositing an insulating material over substrate 50, fins 66, and nanostructures 55 and between adjacent fins 66. The insulating material may be an oxide (e.g., silicon oxide), a nitride, or the like, or a combination thereof, and may be formed by high-density plasma CVD (HDP-CVD), Flowable CVD (FCVD), or the like, or a combination thereof. Other insulating materials formed by any acceptable process may be used. In the illustrated embodiment, the insulating material is silicon oxide formed by an FCVD process. Once the insulating material is formed, an annealing process may be performed. In one embodiment, the insulating material is formed such that excess insulating material covers the nanostructures 55. Although the insulating material is shown as a single layer, some implementations may utilize multiple layers. For example, in some embodiments, spacers (not separately shown) may first be formed along the surfaces of substrate 50, fins 66, and nanostructures 55. Thereafter, a filler material, such as those described above, may be formed on the liner.

A removal process is then applied to the insulating material to remove excess insulating material on the nanostructures 55. In some embodiments, a planarization process, such as Chemical Mechanical Polishing (CMP), etch back process, combinations thereof, and the like, may be utilized. The planarization process exposes the nanostructures 55 such that the top surfaces of the nanostructures 55 and the insulating material are horizontal after the planarization process is complete.

The insulating material is then recessed to form STI regions 68. The insulating material is recessed so that the upper portions of fins 66 in regions 50N and 50P protrude from between adjacent STI regions 68. Further, the top surface of STI region 68 may have a flat surface, a convex surface, a concave surface (e.g., a depression), as shown, or a combination thereof. The top surface of STI region 68 may be formed flat, convex, and/or concave by appropriate etching. STI regions 68 may be recessed using an acceptable etch process, such as an etch process that is selective to the material of the insulating material (e.g., etches the material of the insulating material at a faster rate than the material of fins 66 and nanostructures 55). For example, oxide removal using, for example, dilute hydrofluoric (dHF) acid may be used.

The process described above with respect to fig. 2-4 is merely one example of how the fins 66 and nanostructures 55 may be formed. In some embodiments, the fin 66 and/or the nanostructures 55 may be formed using a masking and epitaxial growth process. For example, a dielectric layer may be formed over the top surface of the substrate 50, and a trench may be etched through the dielectric layer to expose the underlying substrate 50. An epitaxial structure may be epitaxially grown in the trench and the dielectric layer may be recessed such that the epitaxial structure protrudes from the dielectric layer to form fin 66 and/or nanostructure 55. The epitaxial structure may include alternating semiconductor materials, such as the first semiconductor material and the second semiconductor material, discussed above. In some embodiments of epitaxial growth of epitaxial structures, the epitaxially grown material may be doped in situ during growth, which may eliminate prior and/or subsequent implantations, although in situ and implant doping may be used together.

In addition, for illustrative purposes only, the first semiconductor layer 51 (and resulting first nanostructures 52) and the second semiconductor layer 53 (and resulting second nanostructures 54) are shown and discussed herein as comprising the same material in the P-type region 50P and the N-type region 50N. Thus, in some embodiments, one or both of the first and second semiconductor layers 51 and 53 may be different materials or formed in different orders in the P-type region 50P and the N-type region 50N.

Further in fig. 4, appropriate wells (not separately shown) may be formed in fin 66, nanostructures 55, and/or STI regions 68. In embodiments with different well types, different implantation steps for the N-type region 50N and the P-type region 50P may be achieved using a photoresist or other mask (not separately shown). For example, a photoresist may be formed over fin 66 and STI regions 68 in N-type region 50N and P-type region 50P. The photoresist is patterned to expose the P-type region 50P. The photoresist may be formed by using a spin coating technique and may be patterned using an acceptable photolithography technique. Once the photoresist is patterned, N-type impurity implantation is performed in the P-type region 50P, and the photoresist may be used as a mask to substantially prevent N-type impurities from being implanted into the N-type region 50N. The n-type impurity may be at about 1013Atom/cm3To about 1014Atom/cm3Phosphorus, arsenic, antimony, etc. implanted into the region at a concentration within the range of (a). After implantation, the photoresist is removed, for example, by an acceptable ashing process.

After or before the implantation of the P-type region 50P, a photoresist or other mask (not separately shown) is formed over the fin 66, the nanostructures 55, and the STI regions 68 in the P-type region 50P and the N-type region 50N. The photoresist is patterned to expose the N-type region 50N. The photoresist may be formed by using a spin coating technique and may be patterned using an acceptable photolithography technique. Once the photoresist is patterned, p-type impurity implantation may be performed in the N-type region 50N, andthe photoresist may be used as a mask to substantially prevent P-type impurities from being implanted into the P-type region 50P. The p-type impurity may be at about 1013Atom/cm3To about 1014Atom/cm3Boron, boron fluoride, indium, etc. implanted into the region at a concentration within the range of (a). After implantation, the photoresist may be removed, for example, by an acceptable ashing process.

After implantation of the N-type region 50N and the P-type region 50P, an anneal may be performed to repair the implantation damage and activate the implanted P-type and/or N-type impurities. In some embodiments, the growth material of the epitaxial fin may be doped in-situ during growth, which may eliminate implantation, although in-situ and implantation doping may be used together.

In fig. 5, a dummy dielectric layer 70 is formed on fin 66 and/or nanostructures 55. The dummy dielectric layer 70 may be, for example, silicon oxide, silicon nitride, combinations thereof, and the like, and may be deposited or thermally grown according to acceptable techniques. A dummy gate layer 72 is formed over dummy dielectric layer 70 and a mask layer 74 is formed over dummy gate layer 72. Dummy gate layer 72 may be deposited over dummy dielectric layer 70 and then planarized, for example by CMP. A mask layer 74 may be deposited over dummy gate layer 72. The dummy gate layer 72 may be a conductive or non-conductive material and may be selected from the group consisting of amorphous silicon, polysilicon (polysilicon), poly silicon germanium (poly SiGe), metal nitrides, metal suicides, metal oxides, and metals. The dummy gate layer 72 may be deposited by Physical Vapor Deposition (PVD), CVD, sputter deposition, or other techniques for depositing selected materials. The dummy gate layer 72 may be made of other materials having a high etch selectivity to the etch of the isolation region. The mask layer 74 may comprise, for example, silicon nitride, silicon oxynitride, or the like. In this example, a single dummy gate layer 72 and a single mask layer 74 are formed over N-type region 50N and P-type region 50P. It should be noted that dummy dielectric layer 70 is shown covering only fins 66 and nanostructures 55 for illustrative purposes only. In some embodiments, dummy dielectric layer 70 may be deposited such that dummy dielectric layer 70 covers STI region 68 such that dummy dielectric layer 70 extends between dummy gate layer 72 and STI region 68.

Fig. 6A-21C illustrate various additional steps in the fabrication of an embodiment device. Fig. 6A, 7A, 8A, 9A, 10A, 11A, 12C, 13A, 13C, 14A, and 15A illustrate features in the region 50N or the region 50P. In fig. 6A and 6B, mask layer 74 (see fig. 5) may be patterned using acceptable photolithography and etching techniques to form mask 78. The pattern of mask 78 may then be transferred onto dummy gate layer 72 and dummy dielectric layer 70 to form dummy gate 76 and dummy gate dielectric 71, respectively. Dummy gate 76 covers the corresponding channel region of fin 66. The pattern of mask 78 may be used to physically separate each of the dummy gates 76 from adjacent dummy gates 76. The dummy gates 76 may also have a longitudinal direction that is substantially perpendicular to the longitudinal direction of the respective fins 66.

In fig. 7A and 7B, a first spacer layer 80 and a second spacer layer 82 are formed over the structure shown in fig. 6A and 6B, respectively. The first and second spacer layers 80, 82 are subsequently patterned to act as spacers for forming self-aligned source/drain regions. In fig. 7A and 7B, first spacers 80 are formed on the top surface of STI regions 68; the top surfaces and sidewalls of fin 66, nanostructure 55, and mask 78; and on the sidewalls of dummy gate 76 and dummy gate dielectric 71. A second spacer layer 82 is deposited over the first spacer layer 80. The first spacer layer 80 may be formed of silicon oxide, silicon nitride, silicon oxynitride, etc. using techniques such as thermal oxidation, or deposited by CVD, ALD, etc. The second spacer layer 82 may be formed of a material (e.g., silicon oxide, silicon nitride, silicon oxynitride, etc.) having a different etch rate than the material of the first spacer layer 80, and may be deposited by CVD, ALD, etc.

After forming the first spacer 80 and before forming the second spacer 82, an implant for lightly doped source/drain (LDD) regions (not separately shown) may be performed. In embodiments with different device types, a mask, such as photo-induced, may be formed over N-type region 50N similar to the implant discussed above in fig. 4Resist while exposing the P-type region 50P, and an appropriate type (e.g., P-type) of impurity can be implanted into the exposed fins 66 and nanostructures 55 in the P-type region 50P. The mask may then be removed. Subsequently, a mask, such as a photoresist, may be formed over the P-type region 50P while exposing the N-type region 50N, and an appropriate type of impurity (e.g., an N-type impurity) may be implanted into the exposed fins 66 and nanostructures 55 in the N-type region 50N. The mask may then be removed. The n-type impurity may be any of the n-type impurities previously discussed, and the p-type impurity may be any of the p-type impurities previously discussed. The lightly doped source/drain region may have an impurity concentration of about 1 × 1015Atom/cm3To about 1X 1019Atom/cm3Within the range of (1). Annealing may be used to repair implant damage and activate implanted impurities.

In fig. 8A and 8B, the first spacer layer 80 and the second spacer layer 82 are etched to form a first spacer 81 and a second spacer 83. As will be discussed in more detail below, the first and second spacers 81, 83 act on subsequently formed self-aligned source/drain regions and protect the sidewalls of the fin 66 and/or the nanostructures 55 during subsequent processing. The first and second spacer layers 80, 82 may be etched using a suitable etching process, such as an isotropic etching process (e.g., a wet etching process), an anisotropic etching process (e.g., a dry etching process), and the like. In some embodiments, the material of the second spacer layer 82 has a different etch rate than the material of the first spacer layer 80, such that the first spacer layer 80 may function as an etch stop layer when patterning the second spacer layer 82, and such that the second spacer layer 82 may function as a mask when patterning the first spacer layer 80. For example, the second spacer layer 82 may be etched using an anisotropic etch process, wherein the first spacer layer 80 acts as an etch stop, wherein the remaining portion of the second spacer layer 82 forms the second spacer 83 as shown in fig. 8A. Thereafter, the second spacers 83 are used as a mask when etching the exposed portions of the first spacers 80, thereby forming the first spacers 81 as shown in fig. 8A.

As shown in fig. 8A, first and second spacers 81, 83 are disposed on the sidewalls of the fins 66 and/or nanostructures 55. As shown in fig. 8B, in some embodiments, second spacer layer 82 may be removed from over first spacer layer 80 adjacent to mask 78, dummy gate 76, and dummy gate dielectric 71, and first spacer layer 81 may be disposed on sidewalls of mask 78, dummy gate 76, and dummy gate dielectric 60. In other embodiments, a portion of second spacer layer 82 may remain over first spacer layer 80 adjacent to mask 78, dummy gate 76, and dummy gate dielectric 71.

It should be noted that the above disclosure generally describes a method of forming spacers and LDD regions. Other methods and sequences may be used. For example, fewer or additional spacers may be utilized, a different sequence of steps may be utilized (e.g., the first spacer 81 may be patterned prior to depositing the second spacer layer 82), additional spacers may be formed and removed, and so on. In addition, different structures and steps may be used to form the n-type device and the p-type device.

In fig. 9A and 9B, according to some embodiments, a first groove 86 is formed in the fin 66, the nanostructures 55, and the substrate 50. Epitaxial source/drain regions will subsequently be formed in the first recess 86. The first groove 86 may extend through the first nanostructure 52 and the second nanostructure 54, and into the substrate 50. As shown in fig. 9A, the top surface of STI region 58 may be flush with the bottom surface of first recess 86. In various embodiments, fin 66 may be etched such that a bottom surface of first recess 86 is disposed below a top surface of STI region 68; and so on. The first recess 86 may be formed by etching the fin 66, the nanostructures 55, and the substrate 50 using an anisotropic etching process (e.g., RIE, NBE, etc.). The first spacers 81, the second spacers 83, and the mask 78 cover the fins 66, the nanostructures 55, and portions of the substrate 50 during the etching process used to form the first recesses 86. Each layer of nanostructures 55 and/or fins 66 may be etched using a single etching process or multiple etching processes. After first recess 86 reaches the desired depth, a timed etch process may be used to stop etching first recess 86.

In fig. 10A and 10B, portions of the sidewalls of the layers of the multi-layer stack 64 formed of the first semiconductor material (e.g., the first nanostructures 52) exposed by the first recess 86 are etched to form sidewall recesses 88 in the N-type region 50N, and portions of the sidewalls of the layers of the multi-layer stack 56 formed of the second semiconductor material (e.g., the second nanostructures 54) exposed by the first recess 86 are etched to form sidewall recesses 88 in the P-type region 50P. Although the sidewalls of the first nanostructures 52 and the second nanostructures 54 in the sidewall groove 88 are shown as straight in fig. 10B, the sidewalls may also be concave or convex. The sidewalls may be etched using an isotropic etch process (e.g., wet etch, etc.). A mask (not shown) may be used to protect the P-type region 50P while the first nanostructures 52 are etched using an etchant that is selective to the first semiconductor material, such that the second nanostructures 54 and the substrate 50 remain relatively unetched compared to the first nanostructures 52 in the N-type region 50N. Similarly, a mask (not shown) may be used to protect the N-type region 50N while the second nanostructure 54 is etched using an etchant that is selective to the second semiconductor material, such that the first nanostructure 52 and the substrate 50 remain relatively unetched compared to the second nanostructure 54 in the P-type region 50P. In embodiments where the first nanostructures 52 comprise, for example, SiGe and the second nanostructures 54 comprise, for example, Si or SiC, tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH), are used4OH) or the like may be used to etch the sidewalls of the first nanostructures 52 in the N-type region 50N, and a dry etching process using hydrogen fluoride, another fluorine-based etchant, or the like may be used to etch the sidewalls of the second nanostructures 54 in the P-type region 50P.

In fig. 11A to 11C, a first internal spacer 90 is formed in the sidewall groove 88. The first interior spacer 90 may be formed by depositing an interior spacer layer 264 (not separately shown in fig. 11A-11C, but illustrated as an intermediate in fig. 11I below) on the structure shown in fig. 10A and 10B. The first interior spacers 90 serve as isolation features between subsequently formed source/drain regions and the gate structure. As will be discussed in more detail below, source/drain regions will be formed in the first recess 86, and the first nanostructure 52 in the N-type region 50N and the second nanostructure 54 in the P-type region 50P will be replaced by corresponding gate structures.

Fig. 11D-11E illustrate a deposition system 200 of a material that may be used to form the inner spacer layer 264. In one embodiment, the deposition system 200 includes a deposition chamber 203 to receive precursor material from the first precursor delivery system 205 and the second precursor delivery system 206 and form the material for the inner spacer layer 264. In one embodiment, the first precursor delivery system 205 and the second precursor delivery system 206 may work in conjunction with each other to supply a variety of different precursor materials into the deposition chamber 203. However, first precursor delivery system 205 and second precursor delivery system 206 may have similar physical components to each other.

For example, the first precursor delivery system 205 and the second precursor delivery system 206 may each include a gas supply 207 and a flow controller 209 (labeled in fig. 11D with respect to the first precursor delivery system 205, but not labeled with respect to the second precursor delivery system 206 for clarity). In embodiments where the first precursor is stored in a gaseous state, the gas supply 207 may supply the first precursor into the deposition chamber 203. The gas supply 207 may be a container, such as a gas tank, located at the home end of the deposition chamber 203, or may be located at the remote end of the deposition chamber 203. In another embodiment, the gas supply 207 may be a facility that independently prepares and delivers the first precursor to the flow controller 209. Any suitable source of the first precursor may be used as the gas supply 207, and all such sources are fully intended to be included within the scope of the embodiments.

Gas supply 207 may supply the desired precursor to flow controller 209. The flow controller 209 may be used to control the flow of the precursor to the precursor gas controller 213 and ultimately to the deposition chamber 203, thereby also helping to control the pressure within the deposition chamber 203. The flow controller 209 may be, for example, a proportional valve, a regulator valve, a needle valve, a pressure regulator, a mass flow controller, combinations of these, or the like. However, any suitable method for controlling and regulating the flow of gas may be utilized, and all such components and methods are fully intended to be included within the scope of the embodiments.

However, as one of ordinary skill in the art will recognize, although the first precursor delivery system 205 and the second precursor delivery system 206 are described herein as having identical components, this is merely an illustrative example and is not intended to limit embodiments in any way. Any type of suitable precursor delivery system may be utilized having any type and number of individual components that are the same as or different from any other precursor delivery system within deposition system 200. All such precursor systems are fully intended to be included within the scope of the embodiments.

In addition, in embodiments where the first precursor is stored in a solid or liquid state, the gas supply 207 may store a carrier gas, and the carrier gas may be introduced into a precursor tank (not separately shown) that stores the first precursor in a solid or liquid state. The first precursor is then pushed and carried using a carrier gas as it evaporates or sublimates into the gaseous section of the precursor canister and then sent to the precursor gas controller 213. Any suitable method and combination of units may be utilized to provide the first precursor, and all such combinations of units are fully intended to be included within the scope of the embodiments.

The first precursor delivery system 205 and the second precursor delivery system 206 may supply their separate precursor materials to the precursor gas controller 213. The precursor gas controller 213 connects and isolates the first precursor delivery system 205 and the second precursor delivery system 206 from the deposition chamber 203 to deliver the desired precursor materials into the deposition chamber 203. The precursor gas controller 213 may include devices such as valves, flow meters, sensors, etc. to control the delivery rate of each precursor, and may be controlled by instructions received from the control unit 215 (described further below with respect to fig. 11E).

Upon receiving instructions from the control unit 215, the precursor gas controller 213 may open and close the valves to connect one or more of the first precursor delivery system 205 and the second precursor delivery system 206 to the deposition chamber 203 and direct the desired precursor material through the manifold 216 into the deposition chamber 203 and to the showerhead 217. The showerhead 217 can be used to disperse selected precursor materials into the deposition chamber 203 and can be designed to uniformly disperse the precursor materials in order to minimize undesirable process conditions that may result from non-uniform dispersion. In one embodiment, the showerhead 217 may have a circular design with openings evenly dispersed around the showerhead 217 to allow the desired precursor material to be dispersed into the deposition chamber 203.

However, as one of ordinary skill in the art will recognize, the introduction of precursor materials into the deposition chamber 203 through the single showerhead 217 or through the single introduction point as described above is intended to be exemplary only and is not intended to be limited to the described embodiments. Any number of separate and independent showerheads 217 or other openings for introducing precursor materials into the deposition chamber 203 may be utilized. All such combinations of showerheads and other introduction points are fully intended to be included within the scope of the embodiments.

The deposition chamber 203 may receive a desired precursor material and expose the precursor material to the structure, and the deposition chamber 203 may be any desired shape that may be suitable for dispersing the precursor material. In the embodiment shown in fig. 11D, the deposition chamber 203 has a cylindrical sidewall and a bottom. However, the deposition chamber 203 is not limited to a cylindrical shape and may utilize any other suitable shape, such as a hollow square tube, an octagon, and the like. Further, the deposition chamber 203 may be surrounded by a housing 219 made of a material inert to various process materials. Thus, while the housing 219 may be any suitable material capable of withstanding the chemistry and pressures involved in the deposition process, in one embodiment, the housing 219 may be steel, stainless steel, nickel, aluminum, alloys of these, combinations of these, and the like.

The substrate 50 may be placed on a mounting platform 221 within the deposition chamber 203 to position and control the substrate 50 during the deposition process. The mounting platform 221 may include a heating mechanism to heat the substrate 50 during the deposition process. Further, although a single mounting platform 221 is shown in fig. 11D, any number of mounting platforms 221 may additionally be included within the deposition chamber 203.

Additionally, the deposition chamber 203 and the mounting platform 221 may be part of a cluster tool system (not shown). The cluster tool system may be used in conjunction with an automated processing system to position and place the substrate 50 into the deposition chamber 203 prior to the deposition process, position and hold the substrate 50 during the deposition process, and remove the substrate 50 from the deposition chamber 203 after the deposition process.

The deposition chamber 203 may also have an exhaust outlet 225 for exhaust gases to exit the deposition chamber 203. A vacuum pump 231 may be connected to the exhaust outlet 225 of the deposition chamber 203 to assist in evacuating the exhaust gases. The vacuum pump 231, under the control of the control unit 215, may also be used to reduce and control the pressure within the deposition chamber 203 to a desired pressure, and may also be used to evacuate precursor material from the deposition chamber 203 in preparation for the next introduction of precursor material.

Fig. 11E shows an embodiment of a control unit 215 (as shown in fig. 11D) that may be used to control the precursor gas controller 213 and the vacuum pump 231. The control unit 215 may be any form of computer processor that may be used in an industrial environment to control a process machine. In one embodiment, the control unit 215 may include a processing unit 201, such as a desktop computer, workstation, laptop computer, or dedicated unit tailored for a particular application. The control unit 215 may be equipped with a display 243 and one or more input/output components 245, such as command outputs, sensor inputs, a mouse, a keyboard, a printer, combinations of these, and the like. Processing unit 201 may include a Central Processing Unit (CPU)246, a memory 248, a mass storage device 250, a video adapter 254, and an I/O interface 256 connected to a bus 258.

The bus 258 may be one or more of any of several types of bus architectures including a memory bus or memory controller, a peripheral bus, or a video bus. CPU 246 may comprise any type of electronic data processor, and memory 248 may comprise any type of system memory, such as Static Random Access Memory (SRAM), Dynamic Random Access Memory (DRAM), or Read Only Memory (ROM). The mass storage device 250 may include any type of storage device configured to store data, programs, and other information and to make the data, programs, and other information accessible via the bus 258. The mass storage device 250 may include, for example, one or more of a hard disk drive, a magnetic disk drive, or an optical disk drive.

Video adapter 254 and I/O interface 256 provide interfaces for coupling external input and output devices to processing unit 201. As shown in FIG. 11E, examples of input and output devices include a display 243 coupled to a video adapter 254 and I/O components 245, such as a mouse, keyboard, printer, etc., coupled to I/O interface 256. Other devices may be coupled to the processing unit 201, and additional or fewer interface cards may be used. For example, a serial interface card (not shown) may be used to provide a serial interface for the printer. The processing unit 201 may also include a network interface 260, which may be a wired link to a Local Area Network (LAN) or a Wide Area Network (WAN)262, and/or a wireless link.

It should be noted that the control unit 215 may comprise other components. For example, the control unit 215 may include a power supply, a cable, a motherboard, a removable storage medium, a housing, and the like. These other components, although not shown in fig. 11E, are considered part of the control unit 215.

The deposition system 200 may be used to deposit the inner spacer layer 264. In one embodiment, the inner spacer layer 264 may be a dielectric material, such as SiCN, silicon nitride, or SiCON, but any suitable material may be utilized, such as a low dielectric constant (low-k) material having a k value less than about 3.5. Additionally, the material of the inner spacer layer 264 may be a hybrid film comprising one or more of the dielectric materials. The dielectric material may be deposited using Atomic Layer Deposition (ALD), but any other suitable deposition process, such as chemical vapor deposition, may also be used.

In embodiments where the desired dielectric material is SiCN formed by atomic layer deposition, the formation of the inner spacer layer 264 may be initiated by placing a first precursor material into the first precursor delivery system 205. For example, during the desired dielectric material periodIn embodiments where a material such as silicon carbonitride is desired, the first precursor may be, for example, ammonia (NH)3)、N2H2Or N2The material of (1). However, any suitable first precursor may be utilized.

Additionally, a second precursor material may be placed into second precursor delivery system 206. In one embodiment, the second precursor material is a material that can cooperate with the product of the first precursor material to form a monolayer of the desired material. In embodiments where the inner spacer layer 264 is desirably silicon carbonitride and the first precursor material is ammonia, the second precursor material may be a material such as trichloro [ (trichlorosilane) methyl ] silane, Dichlorosilane (DCS), or Hexachlorodisilane (HCD). However, any suitable material may be utilized.

Once the first and second precursor materials are placed into the first and second precursor delivery systems 205 and 206, respectively, the formation of the inner spacer layer 264 may be initiated by the control unit 215 sending instructions to the precursor gas controller 213 to connect the first precursor delivery system 205 to the deposition chamber 203. Once connected, the first precursor delivery system 205 may deliver a first precursor material to the showerhead 217 through the precursor gas controller 213 and the manifold 216. The showerhead 217 may then dispense a first precursor material into the deposition chamber 203, where the first precursor material may be adsorbed and react with each exposed surface.

In embodiments where a silicon carbonitride layer is formed, the first precursor material may be flowed into the deposition chamber 203 at a flow rate between about 0.2 seem and about 5slm, with a carrier gas flow rate between about 0.2 seem and about 1 slm. Additionally, the deposition chamber 203 may be maintained at a pressure between about 0.5 torr and about 10 torr and a temperature between about 500 ℃ and about 650 ℃. The course of an ALD cycle may last for a period of time between about 3s and about 60 s. However, as one of ordinary skill in the art will recognize, these process conditions are intended to be illustrative only, as any suitable process conditions may be utilized while remaining within the scope of the embodiments.

Fig. 11F shows that, in embodiments where it is desired to form a silicon carbonitride layer using ammonia, under these process conditions, the ammonia will react with the exposed surfaces to provide a surface in which the nitrogen is chemically bonded to the underlying surfaces, while the opposing surfaces are terminated by hydrogen atoms exposed to the surrounding atmosphere within the deposition chamber 203. In addition, the reaction of ammonia with the underlying structure will be self-limiting, providing a monolayer once this step is complete.

After the self-limiting reaction has been completed, the deposition chamber 203 may be purged of the first precursor material. For example, the control unit 215 may instruct the precursor gas controller 213 to disconnect the first precursor delivery system 205 (containing the first precursor material to be purged from the deposition chamber 203) and connect the purge gas delivery system 214 to deliver a purge gas into the deposition chamber 203. In one embodiment, the purge gas delivery system 214 may be a gaseous canister or other means that provides a purge gas (e.g., nitrogen, argon, xenon, or other gas) to the deposition chamber 203 to achieve a purge flow of between about 2slm and about 20slm, such as about 10 slm, for a period of time between about 0.01s to about 30s (e.g., about 1 second). Additionally, the control unit 215 may also activate the vacuum pump 231 to apply a pressure differential to the deposition chamber 203 to assist in removing the first precursor material. The purge gas, together with the vacuum pump 231, may clean the first precursor material from the deposition chamber 203.

Introduction of a second precursor material (e.g., trichloro [ (trichlorosilyl) methyl ] silane into the deposition chamber 203 may be initiated by the control unit 215 sending instructions to the precursor gas controller 213 to disconnect the purge gas delivery system 214 and connect the second precursor delivery system 206 (containing the second precursor material) to the deposition chamber 203 after purging of the first precursor material has been completed.

In the embodiments discussed above with respect to forming a silicon carbonitride layer with trichloro [ (trichlorosilyl) methyl ] silane and ammonia, the trichloro [ (trichlorosilyl) methyl ] silane may be directed into the deposition chamber 203 at a flow rate between about 0.2sccm and about 5slm for about 20 seconds. Additionally, the deposition chamber 203 may be maintained at a pressure between about 0.5 torr and about 5 torr and a temperature between about 500 ℃ and about 650 ℃. However, as one of ordinary skill in the art will recognize, these process conditions are intended to be illustrative only, as any suitable process conditions may be utilized while remaining within the scope of the embodiments.

Figure 11G shows that under these process conditions, in embodiments where it is desired to form a silicon carbonitride layer using ammonia, trichloro [ (trichlorosilyl) methyl ] silane will react with the exposed surfaces to provide a surface in which silicon is chemically bonded to the underlying surfaces, while the opposing surfaces are terminated by chlorine atoms exposed to the surrounding atmosphere within the deposition chamber 203. In addition, the reaction of trichloro [ (trichlorosilyl) methyl ] silane with the underlying structure will be self-limiting, providing a monolayer once this step is complete.

Fig. 11H shows that after a monolayer of the desired material (e.g., silicon carbonitride) has been formed, the deposition chamber 203 may be purged (leaving a monolayer of the desired material on the substrate 50) using, for example, a purge gas from a purge gas delivery system 214 for about one second. After the deposition chamber 203 has been purged, a first cycle for forming the desired material is completed, and a second cycle similar to the first cycle may be initiated. For example, a repeated cycle may introduce a first precursor material, purge with a purge gas, pulse with a second precursor, and purge with a purge gas.

As can be seen, each cycle of the first precursor material and the second precursor material can deposit another SiCn layer. In addition, each cycle additionally resets the exposed surface so that the exposed surface is ready to receive a next cycle of the first precursor material or the second precursor material. These cycles may be repeated between about 30 times and about 100 times to form the inner spacer layer 264 to between aboutAnd aboutTo the thickness of (d) in between.

Optionally, once the material of the inner spacer layer 264 has been formed, or at any suitable time between cycles, a cleaning gas may be introduced over the material of the inner spacer layer 264. In one embodiment, the cleaning gas may be a dry gas, such as Hydrogen Fluoride (HF). The cleaning process may be performed at a temperature of about 100 ℃. However, any suitable cleaning gas and process conditions may be utilized.

Fig. 11I shows a close-up view of the inner spacer layer 264 as it extends into the sidewall groove 88 between adjacent layers of the second nanostructure 54C and contacts the already recessed first nanostructure 52C (see, e.g., fig. 10A-10B). As can be seen, the deposition process will grow from each exposed surface of the second nanostructures 54C and the first nanostructures 52C to mostly fill the sidewall recesses 88. However, the deposition process may not completely fill the sidewall recesses 88, leaving a void or gap 266 within the material of the inner spacer layer 264, which gap 266 may extend into the sidewall recesses 88. If left alone, etchant from subsequent etching processes (described further below) will enter gap 266 and cause undesirable etching, which may result in defects and yield loss.

To help ameliorate these effects, FIG. 12A shows a furnace 300 that may be used to perform a first annealing process after the inner spacer layer 264 has been deposited. The oven 300 may include an outer body 301 that encloses a central cavity 303. The outer body 301 may be shaped as a cylinder having a closed upper end and an open lower end to allow for the introduction and removal of a plurality of substrates 50 (e.g., as part of a semiconductor wafer) into the oven 300. The outer body 301 of the furnace may be formed of a heat resistant material, such as quartz, silicon carbide, mullite, combinations of these, or the like, to retain and redirect thermal energy toward the central cavity 303.

Within the outer body 301, a series of heaters 305 are located, controlled by a controller 307. The series of heaters 305 may be used to control the temperature within the central cavity 303 and to heat the substrate 50 as they reside within the central cavity 303. In one embodiment, the heater 305 may be a resistive heater, but any suitable type of heater may be utilized, such as a radiant heater using steam, a radiant heater using a combusted hydrocarbon, or any other suitable element for transferring heat.

The controller may be, for example, a computer having a processor, memory, and input/output ports for running a control program to control the heat within the furnace 300. Additionally, the controller 307 may have one or more temperature sensors 309 to provide heating information to the controller 307. The temperature sensor 309 may be, for example, a thermocouple mounted within the central cavity 303 to monitor the temperature of the central cavity 303 and adjust the series of heaters 305 accordingly to achieve and maintain a desired annealing temperature. However, any suitable type of sensor may be utilized to measure the temperature of the central cavity 303 and communicate the measurement to the controller 307.

Inner tube 311 may be placed within outer body 301 and surround central cavity 303. The inner tube 311 may be a material such as quartz, silicon carbide, or mullite. The inner tube 311 may be cylindrical and spaced from the outer body 301 to provide a passage between the inner tube and the outer body 301 for the flow of process gas.

An inlet 313 and an outlet 315 may extend through the outer body 301 to provide an entry point and an exit point for ambient gas to pass into and out of the central cavity 303. The inlet 313 may extend into a bottom region of the central cavity 303 to provide fresh ambient gas into the central cavity 303. The outlet 315 may extend only through the outer body 301 such that the outlet 315 opens into the gap between the outer body 301 and the inner tube 311. By placing the inlet 313 and outlet 315 at these locations, the desired ambient gas can be introduced at the bottom of the central cavity 303, flow upward through the central cavity 303 within the inner tube 311, flow over the end of the inner tube 311, downward through the gap between the inner tube 311 and the outer body 301, and exit through the outlet 315. Optionally, a vacuum pump (not separately shown in fig. 12A) may be attached to the outlet 315 to remove ambient gas from the central cavity 303.

To seal the central cavity 303 from the ambient atmosphere, a bottom plate 317 may be attached to the outer body 301 along the bottom of the outer body 301. The bottom plate 317 may be made of a similar material to the outer body 301 (e.g., quartz, silicon carbide, mullite, combinations of these, etc.) and covers the opening at the bottom of the outer body 301. The sealing ring 319 may be used to hermetically seal the central cavity 303 between the outer body 301 and the base plate 317.

Attached to the base plate 317 may be a boat connection platform 321. The boat attachment platform 321 allows the boat 400 to be placed and attached to the base plate 317. Once attached to the base plate 317, the wafer boat 400 may be placed into the central cavity 303 and ready for processing.

Fig. 12A additionally shows a wafer boat 400 that may be used to insert and remove semiconductor wafers, such as substrates 50, from the furnace 300. The wafer boat 400 may include a top plate 401, a bottom plate 403, and a plurality of support posts 405 extending between the top plate 401 and the bottom plate 403. The top plate 401, bottom plate 403, and support posts 405 may all be made of a heat resistant material (e.g., quartz, silicon carbide, mullite, combinations of these, etc.), and the support posts 405 may be attached to the top plate 401 and bottom plate 403 by suitable heat resistant methods (e.g., bolting, welding, heat resistant adhesives, press fits, combinations of these, etc.).

A series of notches may be formed at regular intervals along the support posts 405 to allow the support posts 405 to support the substrate 50. Each notch in one of the support columns 405 may be aligned with a notch in the other support column 405 at a similar height, providing four support points at each height to support the substrate 50 and other wafers. The notches may be spaced apart from each other a sufficient distance to allow heat from the furnace to heat the semiconductor wafers evenly without significant interference from adjacent wafers within the wafer boat 400, such as about 6.3mm apart.

In one embodiment, the wafer boat 400 may have four support posts 405, wherein each support post 405 includes 143 notches. However, the exact number of support posts 405, the placement of the support posts 405, and the number of notches in the support posts may vary beyond the embodiments described herein. All such variations are fully intended to be included within the scope of the embodiments.

After the substrate 50 has been placed on the support ring 501 and aligned, the substrate 50 (and other substrates 50 that may be desired to be processed simultaneously) may be placed into the wafer boat 400. This placement may be performed automatically, and the alignment of the substrate 50 may be maintained by friction between the substrate 50 and the support ring 501.

Fig. 12A also shows that once all of the substrates 50 have been placed in the boat 400, the boat 400 (along with the substrates 50) may be placed on the boat attachment platform 321 on the base plate 317 while the base plate 317 is separated from the outer body 301 of the furnace 300. Optionally, the wafer boat 400 may be physically attached to the boat connection platform 321 using, for example, a clamp or other suitable connection device.

After the wafer boat 400 has been placed onto the boat attachment platform 321 of the base plate 317, the base plate 317 may be mated with the outer body 301 such that the wafer boat 400 and substrates 50 are positioned within the central cavity 303 of the furnace 300. Once the central cavity 303 is hermetically sealed between the outer body 301 and the bottom plate 317, the controller 307 may engage the heater 305 to begin heating the central cavity 303 while the desired ambient gas may leak into the central cavity 303 through the inlet 313, flow through the wafer boat 400 and the substrates 50, and exit through the outlet 315.

In one embodiment, the desired ambient gas (without plasma) may be selected to help convert a portion of the inner spacer layer 264 (e.g., SiCN) to both an oxide (e.g., SiOCN) and expand to help reduce or close any gaps 266 that may have formed during the deposition process. As such, in one embodiment, the ambient gas may comprise an oxidant, a regenerant and a catalyst. For example, in some embodiments, the oxidizing agent can be an oxygen-containing gas, such as water (H)2O), oxygen or ozone. However, any suitable oxidizing agent may be used.

For the introduction of the oxidizing agent, it is possible to introduce, for example, argon, helium, N2A carrier gas of combinations of these, etc. is bubbled through the liquid of the oxidizing agent. A portion of the liquid will evaporate and then be carried by the carrier gas to one or more of the inlets 313 (e.g., one 1.0mm syringe for each environment). In one embodiment, the flow rate of the oxidant into the furnace 300 may be between about 0.5slm and about 5slm, while the flow rate of the carrier gas into the furnace is between about 0.5slm and about 3 slm. However, any suitable method of introducing the oxidant and any suitable flow rate may be utilized.

The regenerant may be used to help prevent an overall reduction in material due to undesired etching of the material of the inner spacer layer 264. For example, in some embodiments where the annealing process also acts to etch and remove some of the material from the inner spacer layer 264, a regenerant may be used to replace the removed material and regenerate the inner spacer layer 264. As such, in some embodiments, the regenerant can be a second precursor (e.g., trichloro [ (trichlorosilyl) methyl ] silane), DCS, HCD, combinations of these, and the like. However, any suitable regenerant may be used.

For introducing the regenerant, it is also possible to introduce, for example, argon, helium, N2A carrier gas such as a combination of these bubbles through the liquid of the regenerant. A portion of the liquid will evaporate and then be carried by the carrier gas to one or more of the inlets 313. In one embodiment, the flow rate of the regenerant into the furnace 300 can be between about 0.5slm and about 5slm, while the flow rate of the carrier gas into the furnace is between about 0.5slm and about 3 slm. However, any suitable method of introducing the regenerant and any suitable flow rate may be utilized.

A catalyst may be supplied to assist the chemical reactions that are desired to occur within the furnace 300. As such, while the precise catalyst selected depends at least in part on the material of the inner spacer layer 264, the material of the oxidant, and the regenerant, in some embodiments the catalyst may be a chemical species, such as pyridine, and the like. However, any suitable catalyst may be used.

To introduce the catalyst, a carrier gas, such as argon, helium, nitrogen, water, oxygen, combinations of these, and the like, may be bubbled through the liquid of the catalyst. A portion of the liquid will evaporate and then be carried by the carrier gas to one or more of the inlets 313. In one embodiment, the flow rate of catalyst into the furnace 300 can be between about 0.5slm and about 5slm, while the flow rate of carrier gas into the furnace is between about 0.5slm and about 3 slm. However, any suitable method of introducing the catalyst and any suitable flow rate may be utilized.

Additionally, while the use of bubblers has been described above with respect to the vaporization of oxidant, regenerant and catalyst, this is merely illustrative and is not intended to limit embodiments of the invention. Rather, any suitable vaporizer may be used to vaporize and transport the oxidant, regenerant and catalyst from the storage device for use within the furnace 300. All such evaporators are fully intended to be included within the scope of embodiments.

Fig. 12A also illustrates a suitable method by which heat within the central cavity 303 is transferred to the substrate 50 during the first anneal to anneal the material of the substrate 50 and the inner spacer layer 264 located on the substrate 50. In one embodiment, the first annealing process may be at a temperature between about 400 ℃ and about 600 ℃, for example about 450 ℃; at a pressure between about 500 torr and 800 torr; is performed for a time period of between about 1 hour and about 6 hours, such as about 4 hours. However, any suitable parameter may be utilized.

FIG. 12B shows that the material of the inner spacer layer 264 is converted to contain oxygen from the oxidant during the annealing process. Specifically, when the annealing process introduces an oxidizing agent into the material of the inner spacer layer 264, the oxidizing agent will react with the material of the inner spacer layer 264 and introduce oxygen into the material of the inner spacer layer 264. As such, in embodiments where the material of the inner spacer layer 264 is SiCN, a portion of the inner spacer layer 264 may be converted to an oxide, such as SiOCN. However, any suitable material may be used.

Fig. 12C shows a graph illustrating atomic percentages of carbon (represented by the line labeled 1201 in fig. 12C), nitrogen (represented by the line labeled 1203 in fig. 12C), oxygen (represented by the line labeled 1205 in fig. 12C), and silicon (represented by the line labeled 1207 in fig. 12C). As can be seen, by introducing oxygen into the material of the inner spacer layer 264, which would cause both diffusion and reaction, the material of the inner spacer layer 264 would form two distinct regions within the material of the inner spacer layer 264. In a particular embodiment, the material of the inner spacer layer 264 will have oxide-rich regions 1210 (portions that are converted to oxide) along the surface of the material, which then have an oxygen gradient until the material of the inner spacer layer 264 will also have oxide-free regions 1212 (portions not reached by oxygen during annealing) in its bulk.

In one embodiment, the oxide rich region 1210 may have an oxygen percentage of between about 10% and about 50%, such as about 30%. Additionally, the oxide rich region 1210 can have a nitrogen percentage between about 5% and about 50%, such as about 5%. In a particular embodiment, the oxide rich region 1210 can have an atomic percent of silicon of about 31%, an atomic percent of carbon of about 4%, an atomic percent of oxygen of about 41%, and an atomic percent of nitrogen of about 23%. As such, the oxide rich region 1210 may extend from the extended surface of the inner spacer layer 264 by between aboutAnd aboutBetween (39% of the total thickness) and the oxide-free region has a thickness of between aboutAnd aboutIn between (or the remaining 61% of the total thickness). In addition, the annealing process may reduce the nitrogen within oxide rich region 1210 from about 57% (when deposited) to between about 23% to about 30%, such as about 28%, and slightly reduce the carbon concentration from about 5% (when deposited) to about 4%.However, any suitable concentration and thickness may be used.

FIG. 12D shows that by adding additional material (e.g., oxygen) to the material of the inner spacer layer 264, those portions of the inner spacer layer 264 that receive the additional material (e.g., the oxide rich regions 1210) will expand. In some embodiments, the material of the inner spacer layer 264 may be extended by about 32% while achieving a k value of about 5.

By such expansion, the presence of gaps 266 within the material of the inner spacer layer 264 immediately after deposition (see, e.g., FIG. 11I) may be reduced or completely eliminated. Such closing of the gap 266 to present a nearly flat outward facing surface helps prevent undesirable complications that may arise during subsequent etching.

For example, returning now to FIGS. 11A-11C, once the inner spacer layer 264 has been deposited and processed, the inner spacer layer 264 may then be anisotropically etched to form the first inner spacer 90. In one embodiment, the etching process may beEtching with Hydrogen Fluoride (HF) and ammonia (NH)3) Introduced as an etchant into the exposed material of the converted inner spacer material (e.g., oxide rich regions 1210). HF and NH3Can react with each other and with oxides present in the material of the converted interior spacer material to produce (NH) on the material surface of the converted interior spacer material4)2SiF6

In addition, when (NH)4)2SiF6(NH) when formed on the exposed surface of the converted interior spacer material4)2SiF6Will itself act as a diffusion barrier that will prevent HF and NH3Further diffusing into the material of the converted interior spacer material. In this manner, the user can easily and accurately select the desired target,the etching is effectively self-limiting becauseIs (NH)4)2SiF6Will prevent further formation (NH) at deeper depths within the material of the converted interior spacer material4)2SiF6。 (NH4)2SiF6The precise depth to be formed may be adjusted based on process conditions.

For example, in one embodiment,the process conditions may be set such that between about the material of the converted interior spacer materialAnd aboutE.g. aboutFrom oxide to (NH)4)2SiF6The reaction of (1). Can be controlled byThe temperature, pressure and flow rate of the etchant during the process to achieve this desired depth. For example, the etching process may be performed at a temperature between about 20 ℃ and about 60 ℃, such as about 30 ℃, while the pressure may be maintained between about 10mTorr and about 100mTorr, such as about 20 mTorr. Alternatively, the HF flow rate may be between about 10sccm and about 100sccm, such as about 20sccm, and NH3The flow rate can be between about 10sccm and about 100sccm, such as about 20 sccm. Other diluents such as argon, xenon, helium or other non-reactive gases may additionally be utilized.

Once the reaction is effectively self-terminating (e.g., at a distance from the material surface of the converted interior spacer material)At a distance of) can be usedThe material of the converted interior spacer material (and substrate 50) is heated using an annealing process to remove (NH)4)2SiF6Thereby reducing the material thickness (NH) of the converted interior spacer material4)2SiF6And also exposes the material remaining portion of the converted interior spacer material for further processing. Heat can cause (NH)4)2SiF6Thermal decomposition to N2、H2O、SiF4And NH3These species may all be vapors and may be removed from the material surface of the converted interior spacer material by an annealing process. In one embodiment of the annealing process, the material of the converted interior spacer material may be heated to a temperature between about 80 ℃ to about 200 ℃, for example about 100 ℃, for between about 60 seconds to about 180 seconds to remove (NH) from the surface4)2SiF6

In (NH)4)2SiF6After having been removed, the material of the converted interior spacer material is again exposed and may be subjected to further processing. In one embodiment, a second etching process may be performed, for example, in conjunction with the first etching process described aboveEtch the similar secondEtching to controllably further reduce the material thickness of the converted interior spacer material, e.g., to reduce the material thickness of the converted interior spacer material againTo have a diameter of between aboutAnd aboutBetween, e.g. aboutIs measured. However, as will be appreciated by those of ordinary skill in the art, the precise type of etching process described above,The number of iterations of the process, the process parameters of the etching process, and the precise material thickness of the converted interior spacer material are intended to be illustrative only, as any number of iterations and any desired material thickness of the converted interior spacer material may be utilized.

Can utilizeThe process reduces the thickness of the converted interior spacer material until the material of the converted interior spacer material is flush with the sidewalls of the second nanostructures 54 in the N-type region 50N and flush with the sidewalls of the first nanostructures 52 in the P-type region 50P. For example, in embodiments in which the first interior spacers 90 are formed adjacent to silicon, the thickness of the first interior spacers 90 may be between about 4.1nm and about 4.4 nm. In another embodiment, where the first interior spacers 90 are formed adjacent to silicon germanium, the first interior spacers 90 may be between about 9.4nm and about 11.2 nm.

Furthermore, although a very specific procedure is described above (An etching process), this description is intended to be illustrative only and not limiting. Rather, any suitable etching process may be utilized to thin the material of the converted interior spacer material. For example, in another embodiment, a reactive ion etch process may be utilized, followed by one or more cleaning processes (e.g., an SC-1 or SC-2 cleaning process). All such etching processes are fully intended to be included within the scope of embodiments.

However, although the outer sidewalls of the first interior spacers 90 are shown to be flush with the sidewalls of the second nanostructures 54 in the N-type region 50N and flush with the sidewalls of the first nanostructures 52 in the P-type region 50P, the outer sidewalls of the first interior spacers 90 may be recessed from the sidewalls of the second nanostructures 54 and/or the first nanostructures 52, respectively.

Further, although the outside wall of the first interior spacer 90 is shown as straight in fig. 11B, the outside wall of the first interior spacer 90 may be concave or dished. By way of example, FIG. 11C illustrates an embodiment in which the exterior sidewall of the first interior spacer 90 is concave. Also shown are embodiments in which the outer sidewall of the first interior spacer 90 is concave.

In certain embodiments in which the first interior spacer 90 is recessed, the use of an annealing process and the reduction or removal of the gap 266 may reduce or completely avoid undesirable recessing. For example, utilize thereinIn some embodiments of the etch, the dishing may be no greater than about 3.2nm to reduce the gap FR% to about 0/44. In other embodiments where another etch is utilized followed by a SC-1/SC-2 clean, dishing may be no greater than 4.3nm, thereby reducing the gap percent failure (FR%) to about 0/44. In this way, dishing can be minimized.

In fig. 13A to 13C, epitaxial source/drain regions 92 are formed in the first recesses 86. In some embodiments, the epitaxial source/drain regions 92 may exert a stress on the second nanostructures 54 in the N-type region 50N and on the first nanostructures 52 in the P-type region 50P, thereby improving performance. As shown in fig. 13B, epitaxial source/drain regions 92 are formed in first recesses 86 such that each dummy gate 76 is disposed between a respective adjacent pair of epitaxial source/drain regions 92. In some embodiments, the first spacers 81 are used to separate the epitaxial source/drain regions 92 from the virtual gate layer 72, and the first internal spacers 90 are used to separate the epitaxial source/drain regions 92 from the nanostructures 55 by a suitable lateral distance, so that the epitaxial source/drain regions 92 are not shorted to the subsequently formed gates of the resulting nanofets.

Epitaxial source/drain regions 92 in N-type region 50N (e.g., NMOS region) may be formed by masking P-type region 50P (e.g., PMOS region). Epitaxial source/drain regions 92 are then epitaxially grown in first recesses 86 in N-type region 50N. Epitaxial source/drain regions 92 may comprise any acceptable material suitable for an n-type nanofet. For example, if the second nanostructure 54 is silicon, the epitaxial source/drain regions 92 may comprise a material that exerts a tensile strain on the second nanostructure 54, such as silicon, silicon carbide, phosphorus-doped silicon carbide, silicon phosphide, or the like. The epitaxial source/drain regions 92 may have surfaces that are raised from respective upper surfaces of the nanostructures 55, and may have facets.

Epitaxial source/drain regions 92 in P-type region 50P (e.g., PMOS region) may be formed by masking N-type region 50N (e.g., NMOS region). Epitaxial source/drain regions 92 are then epitaxially grown in first recesses 86 in P-type region 50P. Epitaxial source/drain regions 92 may comprise any acceptable material suitable for a p-type nanofet. For example, if the first nanostructures 52 are silicon germanium, the epitaxial source/drain regions 92 may comprise a material that exerts a compressive strain on the first nanostructures 52, such as silicon germanium, boron doped silicon germanium, germanium tin, or the like. The epitaxial source/drain regions 92 may also have surfaces raised from respective surfaces of the multi-layer stack 56, and may have facets.

Additionally, although the specific processes are described above as a way of forming the epitaxial source/drain regions 92 in the N-type region 50N and the P-type region 50P, these descriptions are merely illustrative and are not intended to be limiting. Rather, any suitable process may be utilized to form epitaxial source/drain regions 92 in N-type region 50N and P-type region 50P. For example, the epitaxial source/drain regions 92 in both the N-type region 50N and the P-type region 50P may be formed from a single material, such as silicon, and may be formed simultaneously (or separately) from each other. All suitable materials and processes may be utilized and all such materials and processes are fully intended to be included within the scope of the embodiments.

Epitaxial source/drainRegion 92, first nanostructure 52, second nanostructure 54, and/or substrate 50 may be implanted with dopants to form source/drain regions, similar to the process discussed previously with annealing after forming lightly doped source/drain regions. The impurity concentration of the source/drain region may be between about 1 × 1019Atom/cm3And about 1X 1021Atom/cm3In the meantime. The n-type and/or p-type impurities for the source/drain regions can be any of the impurities previously discussed. In some embodiments, epitaxial source/drain regions 92 may be doped in-situ during growth.

As a result of the epitaxial process used to form the epitaxial source/drain regions 92 in the N-type region 50N and the P-type region 50P, the upper surface of the epitaxial source/drain regions 92 has facets that extend laterally outward beyond the sidewalls of the nanostructures 55. In some embodiments, these facets result in the merging of adjacent epitaxial source/drain regions 92 with the same NSFET, as shown in FIG. 13A. In other embodiments, as shown in fig. 13C, adjacent epitaxial source/drain regions 92 remain separated after the epitaxy process is completed. In the embodiment shown in fig. 13A and 13C, the first spacer 81 may be formed to the top surface of the STI region 68, thereby blocking epitaxial growth. In some other embodiments, the first spacer 81 may cover portions of the sidewalls of the nanostructures 55, further blocking epitaxial growth. In some other embodiments, the spacer etch used to form the first spacers 81 may be adjusted to remove the spacer material, allowing the epitaxially grown region to extend to the surface of the STI region 58.

Epitaxial source/drain regions 92 may comprise one or more layers of semiconductor material. For example, the epitaxial source/drain regions 92 may include a first layer of semiconductor material 92A, a second layer of semiconductor material 92B, and a third layer of semiconductor material 92C. Any number of layers of semiconductor material may be used for epitaxial source/drain regions 92. Each of the first, second, and third semiconductor material layers 92A, 92B, 92C may be formed of a different semiconductor material and may be doped to a different dopant concentration. In some embodiments, the first semiconductor material layer 92A may have a dopant concentration less than the second semiconductor material layer 92B and greater than the third semiconductor material layer 92C. In embodiments where the epitaxial source/drain regions 92 include three layers of semiconductor material, a first layer of semiconductor material 92A may be deposited, a second layer of semiconductor material 92B may be deposited over the first layer of semiconductor material 92A, and a third layer of semiconductor material 92C may be deposited over the second layer of semiconductor material 92B.

Fig. 13D illustrates an embodiment in which the sidewalls of the first nanostructures 52 in the N-type region 50N and the sidewalls of the second nanostructures 54 in the P-type region 50P are recessed, the outer sidewalls of the first internal spacers 90 are recessed, and the first internal spacers 90 are recessed from the sidewalls of the second nanostructures 54 and the first nanostructures 52, respectively. As shown in fig. 13D, an epitaxial source/drain region 92 may be formed in contact with the first interior spacer 90 and may extend through the sidewalls of the second nanostructures 54 in the N-type region 50N and through the sidewalls of the first nanostructures 52 in the P-type region 50P.

In fig. 14A-14C, a first interlayer dielectric (ILD)96 is deposited over the structure shown in fig. 6A, 13B, and 13A, respectively (the process of fig. 7A-13D does not change the cross-section shown in fig. 6A). The first ILD 96 may be formed of a dielectric material and may be deposited by any suitable method, such as CVD, plasma enhanced CVD (pecvd), or FCVD. The dielectric material may include phosphosilicate glass (PSG), borosilicate glass (BSG), boron doped phosphosilicate glass (BPSG), Undoped Silicate Glass (USG), and the like. Other insulating materials formed by any acceptable process may be used. In some embodiments, a Contact Etch Stop Layer (CESL)94 is disposed between the first ILD 96 and the epitaxial source/drain regions 92, the mask 74, and the first spacers 81. The CESL 94 may comprise a dielectric material, such as silicon nitride, silicon oxide, silicon oxynitride, or the like, having an etch rate that is different than the etch rate of the material of the overlying first ILD 96.

In fig. 15A-15B, a planarization process (e.g., CMP) may be performed to level the top surface of the first ILD 96 with the top surface of the dummy gate 76 or mask 78. The planarization process may also remove the mask 78 on the dummy gate 76 and the portion of the first spacers 81 along the sidewalls of the mask 78. After the planarization process, the top surfaces of the dummy gate 76, the first spacers 81, and the first ILD 96 are flush within process variations. Thus, the top surface of the dummy gate layer 72 is exposed through the first ILD 96. In some embodiments, the mask 78 may be retained, in which case the planarization process makes the top surface of the first ILD 96 flush with the top surfaces of the mask 78 and the first spacers 81.

In fig. 16A and 16B, dummy gate layer 72 and mask 74 (if present) are removed in one or more etching steps to form second recess 98. Portions of the dummy gate dielectric 60 in the second recess 98 are also removed. In some embodiments, dummy gate layer 72 and dummy gate dielectric 60 are removed by an anisotropic dry etch process. For example, the etching process may include a dry etching process using one or more reactive gases that selectively etch the dummy gate layer 72 at a faster rate than etching the first ILD 96 or the first spacer 81. Each second recess 98 exposes and/or covers a portion of the nanostructure 55 that serves as a channel region in a subsequently completed nanofet. The portion of the nanostructure 55 that serves as the channel region is disposed between an adjacent pair of epitaxial source/drain regions 92. During removal, the dummy gate dielectric 60 may act as an etch stop layer when the dummy gate layer 72 is etched. Dummy gate dielectric 60 may then be removed after removing dummy gate layer 72.

In fig. 17A and 17B, the first nanostructures 52 in the N-type region 50N and the second nanostructures 54 in the P-type region 50P are removed, thereby extending the second groove 98. The first nanostructures 52 may be removed by forming a mask (not shown) over the P-type region 50P and performing an isotropic etching process (e.g., wet etching, etc.) using an etchant selective to the material of the first nanostructures 52, while the second nanostructures 54, the substrate 50, the STI regions 58 remain relatively unetched, as compared to the first nanostructures 52. In which the first nanostructure 52 comprises, for example, SiGe and the second nanostructures 54A to 54C comprise, for example, Si orIn the embodiment of SiC, tetramethylammonium hydroxide (TMAH) and ammonium hydroxide (NH) can be used4OH) or the like to remove the first nanostructures 52 in the N-type region 50N.

The second nanostructures 54 in the P-type region 50P may be removed by forming a mask (not shown) over the N-type region 50N and performing an isotropic etching process (e.g., wet etching, etc.) using an etchant selective to the material of the second nanostructures 54, while the first nanostructures 52, the substrate 50, the STI regions 58 remain relatively unetched as compared to the second nanostructures 54. In embodiments where the second nanostructures 54 comprise, for example, SiGe and the first nanostructures 52 comprise, for example, Si or SiC, hydrogen fluoride, another fluorine-based etchant, or the like may be used to remove the second nanostructures 54 in the P-type region 50P.

In fig. 18A and 18B, a gate dielectric layer 100 and a gate electrode 102 are formed for a replacement gate. A gate dielectric layer 100 is conformally deposited in the second recess 98. In the N-type region 50N, a gate dielectric layer 100 may be formed on the top surface and sidewalls of the substrate 50 and on the top surface, sidewalls, and bottom surface of the second nanostructure 54, and in the P-type region 50P, a gate dielectric layer 100 may be formed on the top surface and sidewalls of the substrate 50 and on the top surface, sidewalls, and bottom surface of the first nanostructure 52. A gate dielectric layer 100 may also be deposited on the top surfaces of the first ILD 96, CESL 94, first spacer 81, and STI regions 58.

According to some embodiments, gate dielectric layer 100 includes one or more dielectric layers, such as oxides, metal oxides, and the like, or combinations thereof. For example, in some embodiments, the gate dielectric may include a silicon oxide layer and a metal oxide layer over the silicon oxide layer. In some embodiments, gate dielectric layer 100 comprises a high-k dielectric material, and in such embodiments, gate dielectric layer 100 may have a k value greater than about 7.0, and may comprise a metal oxide or silicate of hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead, and combinations thereof. The structure of gate dielectric layer 100 may be the same or different in N-type region 50N and P-type region 50P. The formation method of the gate dielectric layer 100 may include Molecular Beam Deposition (MBD), ALD, PECVD, and the like.

Gate electrodes 102 are deposited over the gate dielectric layers 100, respectively, and fill the remaining portions of the second recesses 98. The gate electrode 102 can comprise a metal-containing material such as titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, combinations thereof, or multilayers thereof. For example, although a single layer gate electrode 102 is shown in fig. 18A and 18B, the gate electrode 102 may include any number of liner layers, any number of work function tuning layers, and fill materials. Any combination of layers making up the gate electrode 102 may be deposited between adjacent second nanostructures 54 in the N-type region 50N and between the second nanostructures 54A and the substrate 50, and may be deposited between adjacent first nanostructures 52 in the P-type region 50P.

The formation of the gate dielectric layer 100 in the N-type region 50N and the P-type region 50P may occur simultaneously such that the gate dielectric layer 100 in each region is formed of the same material, and the formation of the gate electrode 102 may occur simultaneously such that the gate electrode 102 in each region is formed of the same material. In some embodiments, the gate dielectric layer 100 in each region may be formed by a different process such that the gate dielectric layer 100 may be a different material and/or have a different number of layers, and/or the gate electrode 102 in each region may be formed by a different process such that the gate electrode 102 may be a different material and/or have a different number of layers. When different processes are used, various masking steps may be used to mask and expose the appropriate areas.

After filling the second recess 98, a planarization process (e.g., CMP) may be performed to remove excess portions of the gate dielectric layer 100 and the material of the gate electrode 102, the excess portions being over the top surface of the first ILD 96. The remaining portions of the material of gate electrode 102 and gate dielectric layer 100 thereby form the replacement gate structure of the resulting nanofet. The gate electrode 102 and the gate dielectric layer 100 may be collectively referred to as a "gate structure".

In fig. 19A-19C, the gate structure (including gate dielectric layer 100 and corresponding upper gate electrode 102) is recessed such that a recess is formed directly above the gate structure and between opposing portions of first spacer 81. A gate mask 104 comprising one or more layers of dielectric material (e.g., silicon nitride, silicon oxynitride, etc.) is filled in the recess, followed by a planarization process to remove excess portions of the dielectric material extending over the first ILD 96. A subsequently formed gate contact (e.g., gate contact 114 discussed below with respect to fig. 21A and 21B) penetrates through gate mask 104 to contact the top surface of recessed gate electrode 102.

As further shown in fig. 20A-20C, a second ILD 106 is deposited over the first ILD 96 and over the gate mask 104. In some embodiments, the second ILD 106 is a flowable film formed by FCVD. In some embodiments, the second ILD 106 is formed of a dielectric material such as PSG, BSG, BPSG, USG, and the like, and may be deposited by any suitable method such as CVD, PECVD, and the like.

In fig. 20A-20C, the second ILD 106, first ILD 96, CESL 94 and gate mask 104 are etched to form a third recess 108 that exposes the surface of the epitaxial source/drain regions 92 and/or gate structure. The third recess 108 may be formed by etching using an anisotropic etching process (e.g., RIE, NBE, etc.). In some embodiments, the third recess 108 may be etched through the second ILD 106 and the first ILD 96 using a first etch process; may be etched through the gate mask 104 using a second etch process; and may then be etched through CESL 94 using a third etch process. A mask (e.g., photoresist) may be formed over the second ILD 106 and patterned to mask a portion of the second ILD 106 from the first and second etch processes. In some embodiments, the etching process may overetch, and thus, the third recess 108 extends into the epitaxial source/drain region 92 and/or the gate structure, and the bottom of the third recess 108 may be flush with (e.g., at the same horizontal plane, or have the same distance from) or lower than (e.g., closer to) the epitaxial source/drain region 92 and/or the gate structure. Although fig. 20B shows the third recess 108 as exposing the epitaxial source/drain region 92 and the gate structure in the same cross-section, in various embodiments, the epitaxial source/drain region 92 and the gate structure may be exposed in different cross-sections, thereby reducing the risk of shorting contacts that are subsequently formed. After the third recess 108 is formed, silicide regions 110 are formed over the epitaxial source/drain regions 92. In some embodiments, the silicide regions 110 are formed by: a metal (not shown) capable of reacting with the underlying semiconductor material (e.g., silicon germanium, germanium) of the epitaxial source/drain regions 92 is first deposited to form silicide or germanide regions, such as nickel, cobalt, titanium, tantalum, platinum, tungsten, other noble metals, other refractory metals, rare earth metals, or alloys thereof, over the exposed portions of the epitaxial source/drain regions 92, and then a thermal annealing process is performed to form the silicide regions 110. The unreacted portion of the deposited metal is then removed, for example, by an etching process. Although silicide regions 110 are referred to as silicide regions, silicide regions 110 may also be germanide regions, or silicide-germanide regions (e.g., regions comprising silicide and germanide). In one embodiment, the silicide region 110 comprises TiSi and has a thickness in a range between about 2nm and about 10 nm.

Next, in fig. 21A to 21C, contacts 112 and 114 (may also be referred to as contact plugs) are formed in the third recess 108. Contacts 112 and 114 may each include one or more layers, such as a barrier layer, a diffusion layer, and a fill material. For example, in some embodiments, contacts 112 and 114 each include a barrier layer and a conductive material and are electrically coupled to underlying conductive features (e.g., gate electrode 102 and/or silicide region 110 in the illustrated embodiment). Gate contact 114 is electrically coupled to gate electrode 102 and may be referred to as a gate contact, and contact 112 is electrically coupled to silicide region 110 and may be referred to as a source/drain contact. The barrier layer may comprise titanium, titanium nitride, tantalum nitride, and the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process (e.g., CMP) may be performed to remove excess material from the surface of the second ILD 106.

Advantages may be realized with the embodiments. For example, in embodiments where the interior spacer material is formed and then converted using an annealing process to expand the material and seal any gaps or voids in the material, the subsequent etching process etches the material more uniformly, resulting in fewer defects during the etching process. Such defect reduction helps to improve yield and further allows for reduction in the size of the device.

In one embodiment, a method of manufacturing a semiconductor device includes: providing a semiconductor structure comprising first and second semiconductor layers alternately stacked; horizontally recessing the first semiconductor layer; forming a first internal spacer on the recessed surface of the first semiconductor layer and a sidewall of the second semiconductor layer; and performing an annealing process on the first interior spacer to form a second interior spacer having an oxygen content greater than that of the first interior spacer. In one embodiment, the oxygen content of the second internal spacer is in a range of 10% to 50%, and the nitride content of the second internal spacer is in a range of 5% to 50%. In one embodiment, the second interior spacer has an oxygen content in a range of 30% to 50%. In one embodiment, the nitride content of the second internal spacer is in a range of 20% to 50%. In one embodiment, the performing the annealing process closes a gap within the first interior spacer. In one embodiment, the forming of the first internal spacer is performed at least in part with an atomic layer deposition process. In one embodiment, the atomic layer deposition process utilizes ammonia as a first precursor and trichloro [ (trichlorosilyl) methyl ] silane as a second precursor.

In another embodiment, a method of manufacturing a semiconductor device includes: depositing a layer stack, wherein the layer stack comprises alternating layers of a first semiconductor material and a second semiconductor material; patterning fins from the layer stack; etching the first semiconductor material in the fin to form a first groove; filling at least a portion of a first recess with a first dielectric material, the first dielectric material comprising a gap after filling at least the portion of the first recess; and closing the gap within the first recess by changing at least a portion of the first dielectric material to a second dielectric material. In one embodiment, closing the gap further comprises annealing the first dielectric material. In one embodiment, the first dielectric material comprises silicon carbonitride. In one embodiment, closing the gap adds oxygen to the first dielectric material. In one embodiment, the second dielectric material comprises an oxygen concentration between about 10% and about 50% after closing the gap. In one embodiment, the second dielectric material comprises a nitrogen concentration between about 5% and about 50% after closing the gap. In one embodiment, the method further includes etching the second dielectric material to form an internal spacer.

In yet another embodiment, a semiconductor device includes: a first nanostructure surrounded by a gate dielectric; a second nanostructure over the first nanostructure, wherein the second nanostructure is surrounded by the gate dielectric; and an interior spacer located between the first nanostructure and the second nanostructure, the interior spacer having a recessed surface with a depth of less than about 4.3 nm. In one embodiment, the depth is about 3.2 nm. In one embodiment, the internal spacer is free of a gap between the first nanostructure and the second nanostructure. In one embodiment, the internal spacer comprises SiOCN. In one embodiment, the oxygen content of the interior spacer is 10% to 50%. In one embodiment, the oxygen content of the interior spacer is in the range of 30% to 50%.

Some exemplary embodiments are described below:

embodiment 1. a method of manufacturing a semiconductor device, the method comprising:

providing a semiconductor structure comprising first and second semiconductor layers alternately stacked;

horizontally recessing the first semiconductor layer;

forming a first internal spacer on a recessed surface of the first semiconductor layer and a sidewall of the second semiconductor layer; and

performing an annealing process on the first interior spacer to form a second interior spacer having a greater oxygen content than the first interior spacer.

Embodiment 2. the method of embodiment 1, wherein the oxygen content of the second internal spacers is in the range of 10% to 50%, and the nitride content of the second internal spacers is in the range of 5% to 50%.

Embodiment 3. the method of embodiment 1, wherein the oxygen content of the second internal spacer is in the range of 30% to 50%.

Embodiment 4. the method of embodiment 1, wherein the nitride content of the second internal spacers is in the range of 20% to 50%.

Embodiment 5. the method of embodiment 1, wherein performing the annealing process closes a gap within the first interior spacer.

Embodiment 6 the method of embodiment 1, wherein the forming the first internal spacer is performed at least in part with an atomic layer deposition process.

Embodiment 7 the method of embodiment 6, wherein the atomic layer deposition process utilizes ammonia as a first precursor and trichloro [ (trichlorosilyl) methyl ] silane as a second precursor.

Embodiment 8a method of manufacturing a semiconductor device, the method comprising:

depositing a layer stack, wherein the layer stack comprises alternating layers of a first semiconductor material and a second semiconductor material;

patterning fins from the layer stack;

etching the first semiconductor material within the fins to form first recesses;

filling at least a portion of the first recess with a first dielectric material, the first dielectric material comprising a gap after filling at least the portion of the first recess; and

closing a gap within the first recess by changing at least a portion of the first dielectric material to a second dielectric material.

Embodiment 9 the method of embodiment 8, wherein closing the gap further comprises annealing the first dielectric material.

Embodiment 10 the method of embodiment 9, wherein the first dielectric material comprises silicon carbonitride.

Embodiment 11 the method of embodiment 10, wherein the closing the gap adds oxygen to the first dielectric material.

Embodiment 12 the method of embodiment 11, wherein after the closing the gap, the second dielectric material comprises an oxygen concentration between about 10% and about 50%.

Embodiment 13 the method of embodiment 12, wherein after the closing the gap, the second dielectric material comprises a nitrogen concentration between about 5% and about 50%.

Embodiment 14 the method of embodiment 8, further comprising etching the second dielectric material to form an internal spacer.

Embodiment 15a semiconductor device, comprising:

a first nanostructure surrounded by a gate dielectric;

a second nanostructure on the first nanostructure, wherein the second nanostructure is surrounded by the gate dielectric; and

an interior spacer located between the first and second nanostructures, the interior spacer having a recessed surface with a depth of less than about 4.3 nm.

Embodiment 16 the semiconductor device of embodiment 15, wherein the depth is about 3.2 nm.

Embodiment 17 the semiconductor device of embodiment 15, wherein the internal spacers do not include a gap between the first and second nanostructures.

Embodiment 18 the semiconductor device of embodiment 15, wherein the internal spacers comprise SiOCN.

Embodiment 19 the semiconductor device according to embodiment 15, wherein an oxygen content of the internal spacer is in a range of 10% to 50%.

Embodiment 20 the semiconductor device according to embodiment 15, wherein an oxygen content of the internal spacer is in a range of 30% to 50%.

The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

75页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:埋入式字线结构的制作方法及其半导体存储器

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类