Semiconductor structure and forming method thereof

文档序号:1891975 发布日期:2021-11-26 浏览:24次 中文

阅读说明:本技术 半导体结构及其形成方法 (Semiconductor structure and forming method thereof ) 是由 李政衡 李宜静 张嘉德 于 2021-08-06 设计创作,主要内容包括:本发明描述了一种半导体结构及其形成方法。该方法可包括在衬底上方形成鳍结构。该鳍结构可包括沟道层和所述沟道层与所述衬底之间的缓冲层。该方法可还包括在沟道层中形成凹槽结构。该凹槽结构可包括在缓冲层上方的底面。该方法可还包括在凹槽结构的底面上方形成第一外延层。该第一外延层可包括第一锗原子浓度。该方法可还包括在第一外延层上方形成第二外延层。该第二外延层可包括大于第一锗原子浓度的第二锗原子浓度。(A semiconductor structure and a method of forming the same are described. The method may include forming a fin structure over a substrate. The fin structure may include a channel layer and a buffer layer between the channel layer and the substrate. The method may further include forming a recess structure in the channel layer. The groove structure may include a bottom surface above the buffer layer. The method may further include forming a first epitaxial layer over a bottom surface of the recess structure. The first epitaxial layer may include a first concentration of germanium atoms. The method may further include forming a second epitaxial layer over the first epitaxial layer. The second epitaxial layer may include a second concentration of germanium atoms that is greater than the first concentration of germanium atoms.)

1. A method of forming a semiconductor structure, comprising:

forming a fin structure over a substrate, wherein the fin structure includes a channel layer and a buffer layer between the channel layer and the substrate;

forming a trench structure in the channel layer, wherein the trench structure includes a bottom surface located above the buffer layer;

forming a first epitaxial layer over the bottom surface of the trench structure, wherein the first epitaxial layer comprises a first concentration of germanium atoms; and

forming a second epitaxial layer over the first epitaxial layer, wherein the second epitaxial layer includes a second concentration of germanium atoms that is greater than the first concentration of germanium atoms.

2. The method of claim 1, wherein forming the fin structure comprises: epitaxially growing the channel layer over the buffer layer to have a channel thickness, wherein a ratio of the channel thickness to a height of the fin structure is about 0.1 to about 0.5.

3. The method of claim 2, wherein epitaxially growing the channel layer comprises epitaxially growing a germanium-containing material at a third germanium atom concentration that is less than the second germanium atom concentration.

4. The method of claim 3, wherein the buffer layer comprises the same material as the substrate.

5. The method of claim 1, wherein forming the groove structure comprises: etching the channel layer to have an etch depth to define the bottom surface of the recess structure, wherein a ratio of the etch depth to a thickness of the channel layer is about 0.8 to about 0.95.

6. The method of claim 1, wherein forming the groove structure comprises: etching a first portion of the channel layer to define the bottom surface of the recess structure, wherein a ratio of a thickness of a second portion of the channel layer to another thickness of the channel layer is about 0.05 to about 0.2.

7. The method of claim 1, wherein forming the fin structure comprises: epitaxially growing another channel layer over the channel layer, wherein forming the recess structure comprises: forming the recess structure through the other channel layer, and wherein the bottom surface of the recess structure is above a bottom surface of the channel layer.

8. A method of forming a semiconductor structure, comprising:

forming a fin structure over a substrate, wherein the fin structure includes a channel layer having a top surface exposed and made of a different material than the substrate;

forming a gate structure over the top surface of the channel layer;

forming a trench structure in and over a first portion of the channel layer, wherein the trench structure is adjacent to the gate structure; and

forming a source/drain (S/D) epitaxial layer in the recess structure.

9. The method of claim 8, wherein forming the fin structure comprises: epitaxially growing a channel layer at a first germanium atom concentration, and wherein forming the S/D epitaxial layer comprises: epitaxially growing the S/D epitaxial layer at a second germanium atom concentration greater than or equal to the first germanium concentration.

10. A semiconductor structure, comprising:

a substrate;

a fin structure over the substrate, wherein the fin structure includes a channel layer and a buffer layer between the channel layer and the substrate, and wherein the channel layer and the buffer layer include different concentrations of germanium atoms;

a gate structure over a first portion of the fin structure; and

a source/drain (S/D) region formed over a second portion of the fin structure, wherein a first thickness of the channel layer of the first portion of the fin structure is greater than a second thickness of the second portion of the fin structure.

Technical Field

Embodiments of the present application relate to semiconductor structures and methods of forming the same.

Background

Advances in semiconductor technology have increased the need for Field Effect Transistors (FETs) with higher performance for faster processing systems. To meet this demand, it is important to reduce the channel resistance of the FET to minimize transistor delay (e.g., resistance-capacitance (RC) delay). Underlap between the gate terminal of the FET and the source/drain terminals of the FET can affect the channel resistance of the FET.

Disclosure of Invention

Some embodiments of the present application provide a method of forming a semiconductor structure, comprising: forming a fin structure over a substrate, wherein the fin structure includes a channel layer and a buffer layer between the channel layer and the substrate; forming a trench structure in the channel layer, wherein the trench structure includes a bottom surface located above the buffer layer; forming a first epitaxial layer over the bottom surface of the trench structure, wherein the first epitaxial layer comprises a first concentration of germanium atoms; and forming a second epitaxial layer over the first epitaxial layer, wherein the second epitaxial layer includes a second concentration of germanium atoms that is greater than the first concentration of germanium atoms.

Other embodiments of the present application provide a method of forming a semiconductor structure, comprising: forming a fin structure over a substrate, wherein the fin structure includes a channel layer having a top surface exposed and made of a different material than the substrate; forming a gate structure over the top surface of the channel layer; forming a trench structure in a first portion of the channel layer and over a second portion of the channel layer, wherein the trench structure is adjacent to the gate structure; and forming a source/drain (S/D) epitaxial layer in the recess structure.

Still other embodiments of the present application provide a semiconductor structure comprising: a substrate; a fin structure over the substrate, wherein the fin structure includes a channel layer and a buffer layer between the channel layer and the substrate, and wherein the channel layer and the buffer layer include different germanium atom concentrations; a gate structure over a first portion of the fin structure; and a source/drain (S/D) region formed over a second portion of the fin structure, wherein a first thickness of the channel layer of the first portion of the fin structure is greater than a second thickness of the second portion of the fin structure.

Drawings

Aspects of the invention are best understood from the following detailed description when read with the accompanying drawing figures.

Fig. 1 illustrates an isometric view of a semiconductor device according to some embodiments.

Fig. 2-5 illustrate cross-sectional views of semiconductor devices according to some embodiments.

Fig. 6 illustrates a flow diagram of a method for fabricating a semiconductor device according to some embodiments.

Fig. 7 illustrates an isometric view of a semiconductor device at various stages of its fabrication process, in accordance with some embodiments.

Fig. 8-15 illustrate cross-sectional views of a semiconductor device at various stages of its fabrication process, according to some embodiments.

Illustrative embodiments will now be described with reference to the accompanying drawings. In the drawings, like reference numbers generally indicate identical, functionally similar, and/or structurally similar elements.

Detailed Description

It should be noted that references in the specification to "one embodiment," "an example" or the like indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other implementations.

It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings herein.

Spatially relative terms, such as "below …," "below …," "below," "above …," "upper," and the like, may be used herein for ease of description to describe one element or component's relationship to another (or other) element or component as illustrated. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

In some embodiments, the terms "about" and "substantially" may refer to a value having a given quantity that varies within 5% of the value (e.g., ± 1%, ± 2%, ± 3%, ± 4%, ± 5% of the value). These values are merely examples and are not intended to be limiting. The terms "about" and "substantially" may refer to a percentage of a value that is interpreted by one of ordinary skill in the relevant art in light of the teachings herein.

The fins associated with fin field effect transistors (finfets) or full Gate All Around (GAA) FETs may be patterned by any suitable method. For example, the fins may be patterned using one or more photolithography processes, including a double patterning process or a multiple patterning process. Double patterning and multiple patterning processes combine lithography with self-aligned processes, allowing for the creation of patterns with, for example, pitches smaller than would otherwise be obtainable using a single direct lithography process. For example, a sacrificial layer is formed over a substrate and patterned using a photolithography process. A self-aligned process is used to form spacers alongside the patterned sacrificial layer. The sacrificial layer is then removed and the fin may be patterned using the remaining spacers.

Technological advances in the semiconductor industry have driven the pursuit of high-speed application Integrated Circuits (ICs) with higher performance. During IC development, transistor structures employ lattice-mismatched source/drain (S/D) regions to induce strain in the channel of the transistor, thereby improving the channel mobility of the transistor. To further improve the channel mobility of the transistor, the transistor structure may employ a layer of high mobility material, such as a silicon germanium (SiGe) layer, to form the transistor channel over the buffer layer and/or the substrate. In addition, the volume of the S/D region needs to be increased to reduce parasitic resistance and contact resistance of the transistor to improve the performance of the transistor. However, the increased volume of the S/D regions may penetrate the high mobility material layer and protrude deeply into the underlying buffer layer and/or the underlying substrate, thereby reducing the induced strain in the transistor channel, and thus reducing the performance and speed of the transistor.

To address the above challenges, the present invention relates to fabrication methods and structures that provide increased strain to the channel of a transistor. The transistor may be a p-channel field effect transistor (PFET) having a channel layer epitaxially grown over a substrate. The epitaxially grown channel layer may be a layer of high mobility material (such as a SiGe layer) that is different from the substrate (such as a silicon (Si) substrate). The transistor may further include a gate structure formed over the first portion of the epitaxially grown channel layer (e.g., a channel region of the transistor) and the p-type S/D region protruding into the second portion of the epitaxially grown channel layer. The p-type S/D region may be made of a different material than the epitaxially grown channel layer to induce strain in the channel region of the transistor. For example, the p-type S/D region may include a SiGe layer having a greater concentration of germanium atoms than the epitaxially grown channel layer. Accordingly, the S/D regions may induce strain in the channel region of the transistor, thereby improving channel mobility of the transistor. Further, the S/D regions do not cut through the second portion of the epitaxially grown channel layer. For example, the bottom surface of the S/D region may be above the bottom surface of the epitaxially grown channel layer. Accordingly, strain formed by a lattice constant difference between the S/D region and the epitaxially grown channel layer may be maintained in the channel region of the transistor. Thus, the benefits of the present invention are, inter alia, avoiding the reduction of the induced strain described above, thereby improving the performance and speed of the transistor.

A semiconductor device 100 having a plurality of Field Effect Transistors (FETs) 101 and 103 formed over a substrate 102 is described with reference to fig. 1-5, according to some embodiments. The FETs 101 and 103 may be formed over different portions of the substrate 102. In some embodiments, FET 101 may be a PFET and FET 103 may be an n-channel field effect transistor (NFET). Semiconductor device 100 may be included in a microprocessor, memory unit, or other Integrated Circuit (IC). Fig. 1 illustrates an isometric view of a semiconductor device 100 according to some embodiments. Fig. 2, 4, and 5 illustrate cross-sectional views along a source/drain (S/D) region (e.g., line B-B of fig. 1) of a semiconductor device 100, according to some embodiments. Fig. 3 illustrates a cross-sectional view along a source/drain (S/D) region (e.g., line C-C of fig. 1) of semiconductor device 100, in accordance with some embodiments. Unless otherwise noted, the discussion of elements in fig. 1-5 having the same comments applies to each other. Semiconductor device 100 may be included in a microprocessor, memory unit, or other Integrated Circuit (IC). Also, according to some embodiments, even though the FETs 101 and 103 shown in fig. 1 to 5 are fin field effect transistors (finfets), the FETs 101 and 103 may be Gate All Around (GAA) FETs.

Referring to fig. 1, the substrate 102 may be a semiconductor material such as silicon. In some embodiments, the substrate 102 may comprise a crystalline silicon substrate (e.g., a wafer). In some embodiments, the substrate 102 may include: (i) elemental semiconductors such as Si and germanium (Ge); (ii) a compound semiconductor including silicon carbide (SiC), gallium arsenide (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), and/or indium antimonide (InSb); (iii) an alloy semiconductor including silicon germanium carbide (SiGeC), SiGe, gallium arsenide phosphide (GaAsP), indium gallium phosphide (InGaP), indium gallium arsenide (InGaAs), indium gallium arsenide phosphide (InGaAsP), aluminum indium arsenide (InAlAs), and/or aluminum gallium arsenide (AlGaAs); or (iv) combinations thereof. Further, the substrate 102 (e.g., a p-type substrate or an n-type substrate) may be doped according to design requirements. In some embodiments, the term "p-type" is defined as a structure, layer, and/or region doped with a p-type dopant, such as boron. In some embodiments, the term "n-type" is defined as a structure, layer, and/or region doped with an n-type dopant, such as arsenic. In some embodiments, the substrate 102 may be doped with a p-type dopant (e.g., boron, indium, aluminum, or gallium) or an n-type dopant (e.g., phosphorus or arsenic). In some embodiments, different portions of the substrate 102 may be doped with different dopants.

Each of the FETs 101 and 103 may include a fin structure 108 formed over the substrate 102 with a suitable thickness, such as about 5nm to about 50nmWidth W108And a suitable height H, such as from about 100nm to about 200nm108. The fin structure 108 may extend in the x-direction and may be traversed by the gate structure 110 in the y-direction. The portion of the fin structure 108 of the FET 101 traversed by the gate structure 110 may be a channel region of the FET 101. Likewise, the portion of the fin structure 108 of the FET 103 traversed by the gate structure 110 may be a channel region of the FET 103. In some embodiments, the FET 101 may be a p-channel FET (pfet), wherein a channel region of the FET 101 may conduct hole carriers. In some embodiments, FET 103 may be an n-channel FET (nfet), wherein the channel region of FET 101 may conduct electron carriers. In some embodiments, the FET 101 and/or FET 103 may include a plurality of fin structures 108 extending along a first horizontal direction (e.g., in the x-direction) and a gate structure 110 traversing the plurality of fin structures 108 along a second horizontal direction (e.g., in the y-direction). In some embodiments, multiple FETs 101 and/or 103 may have a common gate structure 110.

Referring to fig. 2 and 3, the fin structure 108 may include a buffer layer 108A disposed on the substrate 102. The buffer layer 108A may be made of a similar material as the substrate 102 to ensure that the channel regions of the FETs 101 and 103 are free of crystal defects. In some embodiments, the buffer layer 108A may be made of a semiconductor material having a lattice mismatch of less than about 0.5% compared to the substrate 102. In some embodiments, the difference in the concentration of germanium atoms between the buffer layer 108A and the substrate 102 may be about 0 to about 0.2%, such as about 0 to about 0.1 and about 0 to about 0.05. If the difference in the concentration of germanium atoms between the buffer layer 108A and the substrate 102 is greater than the above upper limit, crystal dislocations may be embedded in the channel regions of the FET 101 and/or the FET 103, thereby reducing the reliability of the FET 101 and/or the FET 103. In some embodiments, the buffer layer 108A and the substrate 102 may be made of the same material, such as Si. In some embodiments, the buffer layer 108A for FET 101 may have a different doping type (e.g., n-type or p-type) than the buffer layer 108A for FET 103.

Referring to fig. 2, in some embodiments, the fin structure 108 of the FET 101 may further include a channel layer 108CH disposed on the buffer layer 108A. To improve the channel mobility of the FET 101, the carrier mobility (e.g., hole mobility and/or electron mobility) of the channel layer 108CH may be greater than the buffer layer 108A and the substrate 102. In some embodiments, the substrate 102, the buffer layer 108A, and the channel layer 108CH may include silicon and/or germanium, wherein the channel layer 108CH may have a germanium atom concentration greater than the buffer layer 108A and the substrate 102. In some embodiments, the channel layer 108CH may have a germanium atom concentration of about 0.2 to about 0.3. If the germanium atom concentration of the channel layer 108CH is less than the above-described lower limit, the FET 101 may not have sufficient channel mobility to meet the performance requirements of the FET 101, such as a requirement that the peak drive current be greater than 1mA/μm. If the germanium atom concentration of channel layer 108CH is greater than the upper limit, the lattice mismatch between channel layer 108CH and substrate 102 may cause crystal dislocations in channel layer 108CH that fail to meet the reliability criteria of FET 101, such as the breakdown voltage requirements of FET 101. In some embodiments, the difference between the channel layer 108CH and the substrate 102 and/or the difference between the channel layer 108CH and the buffer layer 108A may be about 0.2 to about 0.3. If the difference between the channel layer 108CH and the substrate 102 and/or the difference between the channel layer 108CH and the buffer layer 108A is less than the above-described lower limit, the FET 101 may not have sufficient channel mobility to meet the performance requirements of the FET 101, such as a requirement that the peak drive current be greater than 1mA/μm. If the difference between the channel layer 108CH and the substrate 102 and/or the difference between the channel layer 108CH and the buffer layer 108A is greater than the above-described upper limit, lattice mismatch between the channel layer 108CH and the substrate 102 may cause crystal dislocations in the channel layer 108CH, failing to meet the reliability criteria of the FET 101, such as failing to meet the breakdown voltage requirements of the FET 101.

The channel layer 108CH may have a suitable thickness H, such as from about 50nm to about 65nm108CHTo optimize the performance of the FET 101. In some embodiments, the thickness H of the channel layer 108CH108CHAnd the height H of the fin structure 108108The ratio of (a) may be about 90% to about 98%. If the thickness H of the channel layer 108CH is108CHAnd the height H of the fin structure 108108Is less than the lower limit, the FET 101 may not have sufficient channel mobility to meet the performance requirements of the FET 101, such as peak drive currentGreater than 1mA/μm. If the thickness H of the channel layer 108CH is108CHAnd the height H of the fin structure 108108Is larger than the above upper limit, the channel layer 108CH may induce crystal dislocation, failing to satisfy the reliability standard of the FET 101.

In some embodiments, referring to fig. 4, the channel layer 108CH may be a multi-layer structure. For example, as shown in fig. 4, the channel layers 108CH may include a first channel layer 108CH1 and a second channel layer 108CH2 disposed between the first channel layer 108CH1 and the buffer layer 108A. Each of the multiple-layer channel layer 108CH (e.g., the first channel layer 108CH1 and the second channel layer 108CH2) may have a germanium atom concentration that is greater than the buffer layer 108A and/or the substrate 102. For example, the first channel layer 108CH1 may have a germanium atom concentration of about 0.2 to about 0.3, and the second channel layer 108CH2 may have a germanium atom concentration of about 0.26 to about 0.4, wherein the buffer layer 108A and/or the substrate 102 may have a germanium atom concentration of less than about 20%. In some embodiments, the second channel layer 108CH2 may have a greater concentration of germanium atoms than the first channel layer 108CH1 to further increase the strain in the channel region of the FET 101, thereby further improving the speed and performance of the FET 101.

Referring to fig. 1 and 2, the gate structure 110 may be a multi-layer structure that wraps around a portion of the fin structure 108. For example, the gate structure 110 may wrap around a channel region of the FET 101 and/or a channel region of the FET 103 (e.g., a portion of the fin structure 108 is wrapped around by the gate structure 110) to modulate the conductivity of the channel layer 108CH of the FET 101 and/or the FET 103. In some embodiments, the gate structure 110 may be referred to as a Gate All Around (GAA) structure, wherein the FETs 101 and 103 may be referred to as GAA FETs. The gate structure 110 may have a suitable gate length in the x-direction, such as about 10nm to about 100nm, to meet gate pitch requirements associated with technology nodes (e.g., 7nm nodes, 5nm nodes, 3nm nodes, etc.) of the IC.

The gate structure 110 may include a gate dielectric layer (not shown in fig. 1-5) and a gate electrode (not shown in fig. 1-5) disposed on the gate dielectric layer. A gate dielectric layer may be wrapped around the fin structure 108 to electrically isolate the fin structure 108 from the gate electrode. A gate dielectric layer may be disposed between the gate electrode and the S/D regions 124 (discussed below) to prevent electrical shorting therebetween. The gate dielectric layer may comprise any suitable dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, and metal oxides (e.g., aluminum oxide and hafnium oxide). The gate dielectric layer may have a thickness ranging from about 1nm to about 5 nm. The gate electrode may be a gate terminal of the FET 101 and/or FET 103. Other materials and thicknesses for the gate dielectric layer are within the spirit and scope of the present invention.

The gate electrode may include a metal stack that wraps around the fin structure 108. In some embodiments, the gate electrode may include titanium (Ti), tantalum (Ta), aluminum (Al), copper (Cu), tungsten (W), manganese (Mn), zirconium (Zr), ruthenium (Ru), molybdenum (Mo), cobalt (Co), nickel (Ni), silver (Ag), nickel silicide (NiSi), cobalt silicide (CoSi), titanium nitride (TiN), tantalum nitride (TaN), tantalum silicon nitride (TaSiN), tantalum carbon nitride (TaCN), titanium aluminum (TiAl), titanium aluminum nitride (TiAlN), tungsten nitride (WN), tantalum carbide (TaC), titanium carbide (TiC), titanium aluminum carbide (TiAlC), or tantalum aluminum carbide (TaAlC). Other materials for the gate electrode are within the spirit and scope of the present invention.

The semiconductor device 100 may further include gate spacers 114 disposed on sidewalls of the gate structure 110. The gate spacers 114 may separate the gate structure 110 from the S/D contact structures (e.g., layers of conductive material 154; discussed below) of the semiconductor device 100. The gate spacers 114 may comprise a suitable insulating material such as silicon oxide, silicon nitride, and metal oxides. In some embodiments, the gate spacers 114 may have a thickness t ranging from about 6nm to about 10nm114. In some embodiments, the gate spacer 114 may have a sloped bottom surface. For example, an edge of the gate spacer 114 near the gate structure 110 may be spaced higher than another edge of the gate spacer 114 by a spacing d114Such as about 0nm to about 6 nm. Other materials and dimensions of the gate spacer 114 are within the spirit and scope of the present invention.

The semiconductor device 100 may further include a Shallow Trench Isolation (STI) region 138 that provides electrical isolation for the fin structure 108. For example, the STI regions 138 may electrically isolate the fin structure 108 from another fin structure 108 (not shown in fig. 1) formed in the semiconductor device 100. Also, STI regions 138 may provide electrical isolation between FET 101/103 and adjacent active and passive components (not shown in fig. 1) integrated with or deposited on substrate 102. STI region 138 may include one or more layers of dielectric materials, such as a nitride layer, an oxide layer disposed on the nitride layer, and an insulating layer disposed on the nitride layer. In some embodiments, an insulating layer may refer to a layer (e.g., a dielectric layer) that functions as an electrical insulator. In some embodiments, the insulating layer may comprise silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating materials. Other materials for STI regions 138 are within the spirit and scope of the present invention.

The semiconductor device 100 may further include an interlayer dielectric (ILD) layer 130 disposed over the fin structures 108 to provide electrical insulation between adjacent fin structures 108. In some embodiments, the ILD layer 130 may provide electrical insulation between the S/D regions 110 and the contact structures 120. ILD layer 130 may comprise suitable insulating materials such as flowable dielectric materials (e.g., flowable silicon oxide, flowable silicon nitride, flowable silicon oxynitride, flowable silicon carbide, or flowable silicon oxycarbide) and dielectric materials (e.g., silicon oxide or silicon nitride). Other materials for ILD layer 130 are within the spirit and scope of the present invention.

Referring to fig. 2, 4, and 5, the semiconductor device 100 may further include S/D regions 124 formed over the fin structure 108 and over opposite sides (e.g., along the x-direction) of the gate structure 110 of the FET 101. The S/D regions 124 may include an epitaxially grown semiconductor layer stack. The epitaxially grown semiconductor layer stack of the S/D region 124 may include (i) elemental semiconductor materials, such as Ge and Si; (ii) compound semiconductor materials such as GaAs and AlGaAs; or (iii) semiconductor alloys such as SiGe and GaAsP. Furthermore, the epitaxially grown semiconductor layer stack of S/D regions 124 may include a semiconductor layer having a lattice constant greater than that of channel layer 108CH to provide a compressive strain to the channel region of FET 101. For example, the epitaxially grown semiconductor material of the S/D region 124 may include a SiGe layer having a germanium atom concentration greater than the channel layer 108 CH. In some embodiments, the epitaxially grown semiconductor layer stack of S/D regions 124 may be doped with a p-type dopant, such as boron, indium, aluminum, gallium, zinc, beryllium, and magnesium.

The S/D regions 124 may have a suitable horizontal width W124(e.g., in the x-direction), such as about 15nm to about 30 nm. In some embodiments, the S/D region 124 may further extend horizontally (e.g., in the x-direction) toward the channel region of the FET 101 to reduce the channel resistance of the FET 101. For example, the S/D regions 124 may extend horizontally (e.g., in the x-direction) under the gate spacers 114 to reduce the resistance of the FET 101. Thus, the horizontal (e.g., in the x-direction) spacing S between the S/D regions 124 and the gate structure 110114May be less than the thickness t of the gate spacer 114114. In some embodiments, the spacing S114And may be about 2nm to about 6 nm. If the interval S114Below the lower limit, the FET 101 may be susceptible to short channel effects. If the interval S114Above the lower limit, the FET 101 may tend to increase resistance.

The S/D regions 124 may extend vertically (e.g., in the z-direction) above the top surface of the fin structure 108 by a suitable vertical (e.g., in the z-direction) dimension D124Such as about 4nm to about 10 nm. If the vertical dimension d124Below the lower limit, the capping layer 124C (discussed below) may not have sufficient volume to form the silicide layer 152, thereby increasing the contact resistance of the FET 101. If the vertical dimension d124Above the upper limit, the parasitic capacitance between the S/D region 124 and the gate structure 110 may be increased, thereby increasing the speed of the FET 101.

The S/D region 124 may be vertical (e.g., in the z-direction) to a vertical (e.g., in the z-direction) dimension H124AProtruding into the fin structure 108 to enlarge the volume of the S/D region 124, thereby reducing the resistance of the FET 101. In some embodiments, the requirement for having high mobility (e.g., hole mobility greater than about 300 cm)2Vs), the S/D region 124 does not penetrate the channel layer 108CH in order to provide sufficient strain to improve mobility at the channel region of the FET 101. For example, as shown in FIG. 2, the vertical dimension H124May be less than the thickness H of the channel layer 108CH108CHSuch that the bottom surface of the S/D region 124 can be vertically (e.g., in the z-direction) separated by S124Vertically toAbove a bottom surface of the channel layer 108CH (e.g., in the z-direction). In some embodiments, the vertical spacing S124And the thickness H of the channel layer 108CH108CHThe ratio of (a) may be about 0.05 to about 0.2. If vertical spacing S124Thickness H of the channel layer 108CH108CHIs less than the above-described lower limit, the S/D region 124 may not induce sufficient strain in the channel region of the FET 101 to meet the high mobility requirement of the FET 101. If vertical spacing S124Thickness H of the channel layer 108CH108CHIs greater than the upper limit, the S/D region 124 may not have sufficient volume to reduce the resistance of the FET 101, thereby reducing the speed of the FET 101. In some embodiments, as shown in fig. 3, the channel layer 108CH may be a multi-layer stack (e.g., a first channel layer 108CH1 and a second channel layer 108CH2) in which the S/D regions 124 may penetrate an upper portion of the channel layer 108CH (e.g., formed through the first channel layer 108CH 1) and partially protrude to a lower portion of the channel layer 108CH (e.g., formed in the second channel layer 108CH2), and a bottom surface of the S/D regions 124 to vertically separate the S124Vertically above the bottom surface of the second channel layer 108CH 2.

In some embodiments, for FET 101 having low resistance requirements (e.g., requirements for transistor external resistance less than about 3500 Ω. μm), the bottom of S/D region 124 may be substantially coplanar with the bottom surface of 108CH to enlarge the volume of S/D region 124 to provide reduced resistance for FET 101. For example, as shown in FIG. 5, the vertical dimension H124May be substantially equal to the thickness H of the channel layer 108CH108CH. In some embodiments, the depth of penetration t may be vertical (e.g., in the z-direction) through the channel layer 108CH124Forming S/D regions 124 in which the penetration depth t124Thickness H of the channel layer 108CH108CHThe ratio of (a) may be about 0 to about 0.03. If the penetration depth t124Thickness H of the channel layer 108CH108CHIs less than the lower limit, the S/D region 124 may not have sufficient volume to reduce the resistance of the FET 101 and thus may not meet the low resistance requirement of the FET 101. If the penetration depth t124And the thickness H of the channel layer 108CH108CHIs greater than the upper limit, the S/D region 124 may not be presentSufficient strain is induced in the channel region of the FET 101 to reduce the speed of the FET 101.

As previously discussed, the S/D regions 124 may include an epitaxially grown semiconductor layer stack. As shown in fig. 2, the S/D region may include a first layer 124A formed in the channel layer 108 CH. The first layer 124A may be made of an epitaxially grown semiconductor material, such as a compound semiconductor material (e.g., SiGe). In some embodiments, first layer 124A may be made of SiGe with a suitable concentration of germanium atoms, such as about 20% to about 30%, to reduce crystal defects of second layer 124B and capping layer 124C (discussed below). The first layer 124A may be doped with a p-type dopant, such as boron, indium, aluminum, gallium, zinc, beryllium, and magnesium. In some embodiments, the first layer 124A may be doped with a p-type dopant having a doping concentration of less than 5 x 1020/cm3Such as about 5 x 1019/cm3To about 5X 1020/cm3To mitigate short channel effects of the FET 101. In some embodiments, the first layer 124A may have a horizontal (e.g., in the x-direction) dimension W124AWherein the horizontal width W of the first layer 124A124AAnd the horizontal width W of the S/D region 124124AThe ratio of (a) may be about 0.15 to about 0.25. If the horizontal width W of the first layer 124A124AAnd the horizontal width W of the S/D region 124124AIs less than the lower limit, the second layer 124B (discussed below) may have a greater density of crystal defects, thereby reducing the reliability criteria of the FET 101, such as failing to meet the breakdown voltage requirements of the FET 101. If the horizontal width W of the first layer 124A124AAnd the horizontal width W of the S/D region 124124AIs greater than the upper limit, the S/D region 124 may not provide sufficient strain to enhance the mobility of the FET 101.

The S/D region 124 may further include a second layer 124B formed over the first layer 124A. The second layer 124B may be made of a compound semiconductor such as SiGe. In some embodiments, the channel layer 108CH, the first layer 124A, and the second layer 124B may be made of SiGe, wherein the second layer 124B may have a germanium atom concentration greater than the channel layer 108CH, and the first layer 124A provides sufficient strain to enhance the channel mobility of the FET 101. Example (b)For example, the second layer 124B may be made of SiGe having a germanium atom concentration of about 50% to about 55%. The second layer 124B may be doped with a p-type dopant, such as boron, indium, aluminum, gallium, zinc, beryllium, and magnesium. The second layer 124B may be doped with a dopant having a higher doping concentration than the first layer 124A to provide low contact resistance for the FET 101. For example, the second layer 124B may be doped with a p-type dopant having a doping concentration greater than or substantially equal to about 5 × 1020/cm3Such as about 5 x 1020/cm3To about 1X 1021/cm3To provide low contact resistance for the FET 101.

The S/D region 124 may further include a capping layer 124C formed over the second layer 124B. In some embodiments, a capping layer 124C may be formed over and in contact with the first layer 124A. In some embodiments, the top surface of the cap layer 124C may be the top surface of the S/D region 124. In some embodiments, the top surface of the capping layer 124C may include a (111) or (100) crystal plane. The capping layer 124C may be made of a compound semiconductor such as SiGe. In some embodiments, second layer 124B and capping layer 124C may be made of SiGe, wherein capping layer 124C may have a germanium atom concentration less than or substantially equal to that of second layer 124B to provide sufficient silicon atoms to form silicide layer 152. For example, capping layer 124C may be made of SiGe having a germanium atom concentration of about 15% to about 25%. Capping layer 124C may be doped with a p-type dopant, such as boron, indium, aluminum, gallium, zinc, beryllium, and magnesium. The cap layer 124C may be doped with any suitable doping concentration to provide low contact resistance for the FET 101. In some embodiments, the cap layer 124C may be doped with a doping concentration of about 5 x 1020/cm3To about 2X 1021/cm3To provide low contact resistance for the FET 101.

Referring to fig. 3, the semiconductor device 100 may further include S/D regions 126 formed over the fin structure 108 (e.g., over the buffer layer 108A) and over opposite sides (e.g., along the x-direction) of the gate structure 110 of the FET 103. The S/D region 126 may be made of a semiconductor material such as an elemental semiconductor material (e.g., Si or Ge). In some embodiments, FET 103 may be an NFET, wherein S/D region 126 may be doped with an n-type dopant (e.g., phosphorus, arsenic, sulfur, or selenium) to provide electron carriers for FET 103. In some embodiments, FET 103 may be an NFET, wherein S/D region 126 may be made of an epitaxially grown n-type layer stack of semiconductor material that provides electrons to the channel region of FET 101.

In some embodiments, the S/D region 126 may include a first layer 126A formed over the fin structure 108. The first layer 126A may be made of an n-type semiconductor material (e.g., doped with an n-type dopant such as phosphorus, arsenic, sulfur, and selenium) that provides electrons to the channel region of the FET 103. In some embodiments, the first layer 126A may be made of a semiconductor material having a lattice constant less than or substantially equal to a lattice constant of a channel region of the FET 103, such that the first layer 126A may induce a tensile strain in the channel region of the FET 103 in an effort to enhance electron mobility of the FET 103. For example, the channel region of the FET 103 and the first layer 126A may be made of silicon. In some embodiments, the channel region of the FET 103 may be made of silicon and the first layer 126A may be made of a semiconductor material that is free of germanium or free of silicon germanium (e.g., the first layer 126A does not include germanium and/or silicon germanium) to avoid inducing compressive stress in the channel region of the FET 103 and to reduce electron mobility of the FET 103.

In some embodiments, the S/D region 126 may further include a second layer 126B formed over and in contact with the first layer 126A. The second layer 126B may be an n-type semiconductor material (e.g., doped with an n-type dopant such as phosphorus, arsenic, sulfur, and selenium) that provides electrons to the channel region of the FET 103. Further, the second layer 126B may reduce the contact resistance of the FET 101 by providing highly activated carriers (e.g., high electron concentration) to the channel region of the FET 103. In some embodiments, the second layer 126B may be made of a semiconductor material having a lattice constant less than or substantially equal to a lattice constant of a channel region of the FET 103, such that the second layer 126B may induce a tensile strain in the channel region of the FET 103 in an effort to enhance electron mobility of the FET 103. For example, the channel region of the FET 103 and the second layer 126B may be made of silicon. In some embodiments, the channel region of the FET 103 may be made of silicon and the second layer 126B may be made of a semiconductor material that is germanium-free or silicon germanium-free (e.g., the second layer 126B does not include germanium and/or silicon germanium) to avoid inducing compressive stress in the channel region of the FET 103 and to reduce electron mobility of the FET 103.

Semiconductor device 100 may further include a layer of insulating material 148 formed over gate structure 110 and ILD layer 130. The layer of insulating material 148 may electrically isolate the gate structure 110 and the S/D region 124 from interconnect structures (not shown in fig. 1-5) formed over the FETs 101 and 103. The layer of insulating material 148 may be made of any suitable insulating material, such as silicon oxide, silicon nitride, and metal oxides. Furthermore, the layer of insulating material 148 may be made of any suitable thickness, such as about 10nm to about 400nm, which may provide sufficient electrical insulation between the interconnect structure (not shown in fig. 1-5) and the FET 101/103. Other insulating materials and thicknesses of the layer of insulating material 148 are within the scope and spirit of the present invention.

Semiconductor device 100 may further include a silicide layer 152 protruding into S/D regions 124. Silicide layer 152 may comprise a metal silicide material to provide a low resistance interface between conductive material layer 154 (discussed below) and S/D regions 124. For example, a silicide layer 152 may be formed over and in contact with the top surface of the S/D regions 124 to provide a low resistance interface between the conductive material layer 154 and the S/D regions 124. In some embodiments, the silicide layer 152 may be formed to protrude into the capping layer 124C. In some embodiments, the silicide layer 152 may be formed through the capping layer 124C and in contact with the second layer 124B. The metal silicide material for silicide layer 152 may include titanium, cobalt, nickel, platinum, palladium, tungsten, molybdenum, tantalum, vanadium, chromium, silicon, or germanium. Other materials silicide layers 152 are within the scope and spirit of the present invention.

The semiconductor device 100 may further include a layer of conductive material 154 formed over the silicide layer 152. The conductive material layer 154 may have a lateral width, such as about 5nm to about 40nm, to meet fin pitch requirements determined by the technology node. Conductive material layer 154 may comprise any suitable conductive material that provides low resistance between silicide layer 152 and interconnect structures (not shown in fig. 1-5) formed over FET 101/103. For example, the conductive material layer 154 may include a metal material such as copper, tungsten, aluminum, and cobalt. In some embodiments, the conductive material layer 154 may further include a stack of conductive materials (not shown in fig. 1-5) that may serve as a diffusion barrier, adhesion-promoting layer, or nucleation layer, such as a conductive nitride material (e.g., titanium nitride or tantalum nitride) to embed the above-described metal materials in the insulating material layer 148. Other materials for the conductive material layer 154 are within the scope and spirit of the present invention.

Fig. 6 is a flow chart of a method 600 for fabricating the semiconductor device 100 according to some embodiments. For illustrative purposes, the operation shown in fig. 6 will be described with reference to an exemplary manufacturing process for manufacturing the semiconductor device 100 shown in fig. 7 to 15. Fig. 7 illustrates isometric views at various stages of fabrication of semiconductor device 100, in accordance with some embodiments. Fig. 8-15 illustrate cross-sectional views along line B-B of the structure of fig. 7 at various stages of its fabrication, in accordance with some embodiments. The operations may or may not be performed in a different order depending on the particular application. The method 600 may not produce a complete semiconductor device 100. Accordingly, it should be understood that additional processes may be provided before, during, and/or after the method 600, and some other processes may be briefly described herein. In addition, unless otherwise noted, the discussion of elements in fig. 1-15 having the same comments applies to each other.

Referring to fig. 6, in operation 605, a fin structure is formed over a substrate. For example, as shown in fig. 7, a fin structure 108 having a buffer layer 108A and a channel layer 108CH may be formed over the substrate 102. In some embodiments, after performing the method 600, the fin structure 108 of fig. 7 may be the fin structure 108 of the FET 101 (e.g., the fin structure 108 of a PFET). The process of forming the fin structure 108 may include: (i) providing a substrate 102; (ii) using a suitable epitaxial growth process to form a thickness H over the substrate 102108CHEpitaxially growing a channel layer 108 CH; and (iii) etching the channel layer 108CH and the substrate 102 through the etch-patterned mask layer (not shown in fig. 7) to define the buffer layer 108A using an etch process. In some embodiments, the channel layer 108CH may be selectively grown over a portion of the substrate 102 designated for placement of the FET 101, wherein another portion of the substrate 102 (not shown in fig. 7) may be designated as the FET 103 without the channel layer 108 CH.In some embodiments, the process of forming the fin structure 108 may further include: (i) epitaxially growing a buffer layer 108A between the substrate 102 and the channel layer 108CH using a suitable epitaxial growth process; and (ii) etching the channel layer 108CH and the grown buffer layer 108A to define the fin structure 108 using an etching process.

The epitaxial growth process for growing the channel layer 108CH may include a Chemical Vapor Deposition (CVD) process, a low pressure CVD (lpcvd) process, a rapid thermal CVD (rtcvd) process, a metal organic CVD (mocvd) process, an atomic layer CVD (alcvd) process, an ultra-high vacuum CVD (uhvcvd) process, a reduced pressure CVD (rpcvd) process, an Atomic Layer Deposition (ALD) process, a Molecular Beam Epitaxy (MBE) process, a Cyclic Deposition Etch (CDE)) process, or a Selective Epitaxial Growth (SEG) process. The etching process for etching the channel layer 108CH, the substrate 102, and/or the buffer layer 108A may include a dry etching process or a wet etching process. In some embodiments, the dry etching process may include using any suitable etchant, such as an oxygen-containing gas, a fluorine-containing gas, a chlorine-containing gas, and a bromine-containing gas, and the wet etching process may include etching in any suitable wet etchant, such as dilute hydrofluoric acid, a potassium hydroxide solution, ammonia, and nitric acid. Other epitaxial growth processes and etching processes for forming the fin structure 108 are within the spirit and scope of the present invention.

Referring to fig. 6, in operation 610, a recess structure is formed in the fin structure. For example, referring to fig. 8-10, a recess structure 1036 (shown in fig. 10) may be formed in the fin structure 108. The recess structure 1036 may be formed in the portion of the fin structure 108 designated for the FET 101. The process of forming recessed structure 1036 may include: (i) an oxide layer and a polysilicon layer are blanket deposited over the structure of fig. 7 using suitable deposition processes, such as CVD processes, Physical Vapor Deposition (PVD) processes, and ALD processes, and (ii) the deposited polysilicon layer and deposited oxide layer are etched through a patterned masking layer (not shown in fig. 8) using an etching process to define a polysilicon layer 812 (shown in fig. 8) and an oxide layer 806 (shown in fig. 8). In some embodiments, the etch process used to define the oxide layer 806 may further etch the top portion of the channel layer 108CH, resulting in a depth of at the top surface of the channel layer 108CH ofd114(changing to separation d of FIG. 2 later after method 600114) E.g., as shown by groove structure 836 of fig. 8.

The process of forming recessed structure 1036 may further include: (i) a suitable deposition process and etch process is used to form a thickness t over the sidewalls of polysilicon layer 812114Gate spacers 114 (shown in fig. 9); and (ii) etching the channel layer 108CH through the polysilicon layer 812 and the gate spacer 114 using an etching process to define a recessed structure 1036 (shown in fig. 10). The resulting recessed structure 1036 may expose two opposing side surfaces of the channel layer 108CH in the x-direction (not shown in fig. 10). Two opposing side surfaces of the recess structure 1036 in the x-direction may extend toward the channel region of the adjacent FET 101 (e.g., the portion of the channel layer 108CH underlying the polysilicon layer 812). Thus, a thickness t that is less than the gate spacer 114 may be passed114Interval S of114The tops of the sides of recessed structure 1036 are laterally separated from the channel regions of adjacent FETs 101. In addition, the resulting recessed structure 1036 may have a depth H124(e.g., later to the vertical dimension H of the S/D region 124 of FIG. 2)124) Protruding into the channel layer 108 CH. As previously discussed in fig. 2, due to the depth H124May be less than the thickness H of the channel layer 108CH108CHTo avoid reducing strain in the channel region of FET 101, the bottom surface 1036T of the recessed structure 1036 may be above the bottom surface of the channel layer 108 CH. In some embodiments, depth H124Thickness H of the channel layer 108CH108CHThe ratio of (a) may be about 0.8 to about 0.95. If the depth H is124Thickness H of the channel layer 108CH108CHIs less than the lower limit, the S/D region 124 may not have sufficient volume to reduce the resistance of the FET 101, thereby reducing the speed of the FET 101. If the depth H is124Thickness H of the channel layer 108CH108CHIs greater than the above upper limit, the S/D regions 124 may not induce sufficient strain in the channel region of the FET 101 to meet the high mobility requirements of the FET 101. In some embodiments, operations 615-625 (discussed below) may be performed on the trench structure 1036 of fig. 10 to produce the S/D regions 124 of fig. 2 or 4.

Referring to fig. 11, in some embodiments, operation 610 may further include extending the recessed structure 1036 of fig. 10 through the channel layer 108CH by an etching process to form a recessed structure 1136 to expose the buffer layer 108A. The groove structure 1136 may penetrate to a depth t124Protruding into the channel layer 108A. In some embodiments, the depth H of the recessed features 1136124(as shown in FIG. 11; later referred to as the vertical dimension H of the S/D region 124 of FIG. 5)124) May be substantially equal to the thickness H of the channel layer 108CH108CH. In some embodiments, operations 615 and 625 (discussed below) may be performed on the trench structure 1136 of fig. 11 to generate the S/D regions 124 of fig. 5.

Referring to fig. 6, in operation 615, S/D epitaxial regions are formed in the recess structure. For example, as shown in FIG. 12, S/D regions 124 can be formed in recessed structure 1036 of FIG. 10 or recessed structure 1136 of FIG. 11. The process of forming the S/D regions 124 may include: (i) epitaxially grown by an epitaxial growth process in recessed structure 1036 or recessed structure 1136 to a thickness substantially equal to horizontal dimension W124AThe first layer 124A; (ii) epitaxially growing a second layer 124B over the first layer 124A by an epitaxial growth process; and (iii) in the vertical dimension d124A cap layer 124C is epitaxially grown over the second layer 124B and over the channel layer 108 CH.

The epitaxial growth process for forming the S/D regions 124 may include: (i) CVD processes such as LPCVD processes, RTCVD processes, MOCVD processes, ALCVD processes, UHVCVD processes, and RPCVD processes; (ii) MBE process; (iii) an epitaxial deposition/partial etch process, such as a CDE process; or (iv) SEG process. The epitaxial process may be performed using a suitable process gas associated with the semiconductor material of the first layer 124A, the second layer 124B, and the capping layer 124C. For example, each of the first layer 124A, the second layer 124B, and the capping layer 124C may comprise SiGe, wherein the process gas may comprise Silane (SiH)4) Disilane (Si)2H6) Dichlorosilane (DCS), germane (GeH)4) Hydrogen (H)2) And nitrogen (N)2). The process of forming the S/D regions 124 may further include using a material such as diborane (B)2H6) Boron difluoride, (BF)2) And boron trifluoride (BF)3) Suitable dopant precursors ofThe gas dopes each of the first layer 124, the second layer 124B, and the capping layer 124C with a dopant during the epitaxial growth process.

In some embodiments, operation 615 may further include growing the S/D regions 126 (e.g., growing the first layer 126A and the second layer 126B) for the FET 103 by an epitaxial growth process (as shown in fig. 3). The epitaxial growth process for growing S/D regions 126 may be similar for use with, for example, SiH4、Si2H6、DCS、 H2And N2Suitable process gases for the epitaxial growth process of the S/D regions 124. The epitaxial process for growing the S/D regions 126 may further include using a material such as arsine (AsH) during the epitaxial growth process3) And Phosphine (PH)3) To dope each of the first layer 126A and the second layer 124B with a dopant.

Referring to fig. 6, a metal gate structure is formed over the fin structure and adjacent to the S/D epitaxial region in operation 620. For example, referring to fig. 13 and 14, a metal gate structure 110 may be formed over the fin structure 108 and adjacent to the S/D regions 124. The process of forming the gate structure 110 may include: (ii) (i) forming an ILD layer 130 over the second layer 124B using a suitable deposition process, such as a PVD process and a CVD process, and a polishing process, such as a Chemical Mechanical Polishing (CMP) process (as shown in fig. 13); (ii) removing the polysilicon layer 812 and the oxide layer 806 using an etching process to form a recess structure (not shown in fig. 13) to expose the fin structure 108; and (iii) filling the trench structure with a gate dielectric layer and a gate electrode using a suitable deposition process such as ALD, CVD, and PVD, and a CMP process to define the metal gate structure 110 of fig. 14. Based on the disclosure herein, other processes for forming the gate structure 110 are within the spirit and scope of the present invention.

Referring to fig. 6, in operation 625, S/D metal contacts are formed over the S/D epitaxial regions. For example, referring to fig. 2 and 15, a silicide layer 152 and a conductive material layer 150 may be formed over the S/D regions 124. The process of forming the silicide layer 152 and the conductive material layer 150 may include: (i) blanket depositing an insulating material 148 on ILD layer 130 by a deposition process such as a CVD process, a PVD process, and an ALD process; (ii) a recess structure 1536 (shown in fig. 15) is formed through the insulating material layer 148 and the ILD layer 130 by a photolithography process and an etching process; (iii) forming silicide layer 152 (shown in fig. 2) in recess structure 1536; and (iv) forming a layer of conductive material 154 (shown in fig. 2) over silicide layer 152 using a suitable deposition process (e.g., a CVD process, an ALD process, a PVD process, and an e-beam evaporation process) and polishing process (e.g., a CMP process). Other processes for forming the silicide layer 152 and the conductive material layer 150 are within the spirit and scope of the present invention based on the disclosure herein.

Embodiments of transistor S/D structures and methods of forming the same are provided. The transistor may be a PFET having a p-type S/D structure. A method for forming a transistor may include epitaxially growing a layer of high mobility material ("channel layer"), such as a SiGe layer, over a substrate, such as a Si substrate. The method may further include etching a top portion of the channel layer to form a recess structure to expose a bottom portion of the channel layer. That is, the depth of the recess structure may be less than or substantially equal to the thickness of the channel layer. The method may further comprise epitaxially growing an S/D structure in the recess structure. Thus, the bottom surface of the resulting S/D structure may be above or coplanar with the bottom surface of the channel layer. The method may further include forming a metal gate structure adjacent to the S/D structure to define a channel region of the transistor. By avoiding penetration of the S/D structure through the channel layer, the strain induced in the transistor channel region may be preserved. One of the advantages of the S/D structure is that it provides sufficient strain for a transistor having a high mobility channel layer (e.g., a SiGe channel layer), thereby improving the performance of the transistor.

In some embodiments, a method may include forming a fin structure over a substrate. The fin structure may include a channel layer and a buffer layer between the channel layer and the substrate. The method may further include forming a recess structure in the channel layer. The groove structure may include a bottom surface above the buffer layer. The method may further include forming a first epitaxial layer over the bottom surface of the trench structure. The first epitaxial layer may include a first concentration of germanium atoms. The method may further include forming a second epitaxial layer over the first epitaxial layer. The second epitaxial layer may include a second concentration of germanium atoms that is greater than the first concentration of germanium atoms.

In some embodiments, forming the fin structure comprises: epitaxially growing the channel layer over the buffer layer to have a channel thickness, wherein a ratio of the channel thickness to a height of the fin structure is about 0.1 to about 0.5. In some embodiments, epitaxially growing the channel layer includes epitaxially growing a germanium-containing material at a third germanium atom concentration that is less than the second germanium atom concentration. In some embodiments, the buffer layer comprises the same material as the substrate. In some embodiments, forming the groove structure comprises: etching the channel layer to have an etch depth to define the bottom surface of the recess structure, wherein a ratio of the etch depth to a thickness of the channel layer is about 0.8 to about 0.95. In some embodiments, forming the groove structure comprises: etching a first portion of the channel layer to define the bottom surface of the recess structure, wherein a ratio of a thickness of a second portion of the channel layer to another thickness of the channel layer is about 0.05 to about 0.2. In some embodiments, forming the fin structure comprises: epitaxially growing another channel layer over the channel layer, wherein forming the recess structure comprises: forming the recess structure through the other channel layer, and wherein the bottom surface of the recess structure is above a bottom surface of the channel layer.

In some embodiments, a method may include forming a fin structure over a substrate. The fin structure may include a channel layer having a top surface exposed and made of a different material than the substrate. The method may further comprise: a gate structure is formed over the top surface of the channel layer, and a recess structure is formed over a first portion of the channel layer and a second portion of the channel layer, the recess structure may be adjacent to the gate structure. The method may further include forming a source/drain epitaxial layer in the recess structure.

In some embodiments, forming the fin structure comprises: epitaxially growing a channel layer at a first germanium atom concentration, and wherein forming the S/D epitaxial layer comprises: epitaxially growing the S/D epitaxial layer at a second germanium atom concentration greater than or equal to the first germanium concentration. In some embodiments, forming the fin structure comprises: epitaxially growing the first and second portions of the channel layer at first and second germanium atom concentrations, respectively, and wherein the first germanium atom concentration is less than the second germanium atom concentration. In some embodiments, forming the fin structure comprises: epitaxially growing the channel layer to have a channel thickness; wherein forming the recess structure comprises etching the channel layer to have an etch depth, and a ratio of the etch depth to the channel thickness is about to about 0.8 to about 0.95. In some embodiments, forming the fin structure comprises: forming a buffer layer between the substrate and the channel layer, and wherein the buffer layer comprises the same material as the substrate. In some embodiments, forming the gate structure comprises: forming a polysilicon gate structure over a top surface of the fin structure; forming another recess structure adjacent to the polysilicon gate structure and protruding into the indented portion of the top surface of the fin structure; and forming spacers over the polysilicon gate structure and over the recessed portions of the top surface of the fin structure. In some embodiments, the method further comprises extending the recess structure through the second portion of the channel layer, wherein a depth of the extended recess structure is substantially equal to a thickness of the channel layer, and wherein forming the source/drain epitaxial layer comprises epitaxially growing a source/drain epitaxial layer in the extended recess structure.

In some embodiments, the semiconductor structure may include a substrate and a fin structure over the substrate. The fin structure may include a channel layer and a buffer layer between the channel layer and the substrate. The channel layer and the buffer layer may include different concentrations of germanium atoms. The semiconductor structure may further include a gate structure over the first portion of the fin structure and a source/drain (S/D) region formed over the second portion of the fin structure. A first thickness of a channel layer of the first portion of the fin structure may be greater than a second thickness of the second portion of the fin structure.

In some embodiments, the ratio of the second thickness to the first thickness is about 0.05 to about 0.2. In some embodiments, the spacing between the source/drain region and the buffer layer of the second portion of the fin structure is about 0.05 to about 0.2. In some embodiments, the channel region includes a first concentration of germanium atoms, and wherein the buffer layer includes a second concentration of germanium atoms less than the first concentration of germanium atoms. In some embodiments, a top portion and a bottom portion of the channel layer include different concentrations of germanium atoms from each other. In some embodiments, the semiconductor structure further comprises a gate spacer formed adjacent to the gate structure and over a third portion of the fin structure, wherein a top surface of the third portion of the fin structure is lower than another top surface of the first portion of the fin structure.

The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the aspects of the present invention. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

31页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种半导体结构及其制备方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类