Selective deposition of silicon oxide on metal surfaces

文档序号:63072 发布日期:2021-10-01 浏览:29次 中文

阅读说明:本技术 氧化硅在金属表面上的选择性沉积 (Selective deposition of silicon oxide on metal surfaces ) 是由 A.伊利贝里 G.A.弗尼 邓少任 D.恰佩 E.托伊斯 M.托米宁 M.吉文斯 于 2021-03-26 设计创作,主要内容包括:提供了用于相对于介电表面在金属或金属性表面上选择性沉积氧化硅膜的方法。可相对于金属或金属性表面选择性地钝化衬底的介电表面,如通过将衬底暴露于甲硅烷基化剂。然后,通过使金属表面与金属催化剂和包含硅烷醇的硅前体接触来相对于经钝化的氧化物表面选择性地在金属或金属性表面上沉积氧化硅。(Methods are provided for selectively depositing a silicon oxide film on a metallic or metallic surface relative to a dielectric surface. The dielectric surface of the substrate may be selectively passivated relative to the metal or metallic surface, such as by exposing the substrate to a silylating agent. Silicon oxide is then deposited on the metal or metallic surface selectively to the passivated oxide surface by contacting the metal surface with a metal catalyst and a silicon precursor comprising silanol.)

1. A method of depositing silicon oxide on a metal surface of a substrate selectively relative to a dielectric surface of the substrate, the method comprising, in order:

contacting the substrate with a passivating agent;

contacting the substrate with a metal catalyst; and

contacting the substrate with a silicon reactant comprising silanol.

2. The method of claim 1, wherein the metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, and Mo.

3. The method of claim 1, wherein the dielectric surface comprises silicon oxide.

4. The method of claim 1, wherein contacting the substrate with the passivating agent results in passivating the dielectric surface selectively relative to the metal surface.

5. The method of claim 1, wherein the passivating agent is a silylating agent.

6. The method of claim 5, wherein the silylating agent comprises an alkyl aminosilane.

7. The method of claim 5, wherein the silylating agent comprises allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (TMSDMA).

8. The method of claim 1, wherein the metal catalyst comprises Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA).

9. The method of claim 1, wherein the silicon reactant comprises tris (tert-butoxy) silanol (TBS), tris (isopropoxy) silanol (TIS), or tris (tert-pentoxy) silanol (TPS).

10. The method of claim 1, further comprising forming a passivation blocking layer on the metal surface prior to contacting the substrate with the passivating agent.

11. The method of claim 10, wherein the passivation blocking layer comprises a polymer or a self-assembled monolayer (SAM).

12. The method of claim 1, wherein the selectivity of the deposition of silicon oxide on the catalyzed metal surface relative to the passivated dielectric surface is greater than about 50%.

13. A method of depositing silicon oxide on a metal surface of a substrate selectively relative to a dielectric surface of the substrate, the method comprising a deposition super-cycle comprising:

contacting the substrate with a silylating agent; and

performing one or more silicon oxide deposition sub-cycles comprising alternately and sequentially contacting the substrate with a metal catalyst and a silanol.

14. The method of claim 13, wherein the silylating agent is N- (trimethylsilyl) dimethylamine.

15. The method of claim 13, wherein the metal catalyst comprises Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA).

16. The method of claim 13, wherein the silane is tris (tertiary-pentyloxy) silanol.

17. The method of claim 13, wherein the silicon oxide deposition sub-cycle is repeated two or more times in the deposition super-cycle.

18. The method of claim 17 wherein the substrate is contacted with the silanol two or more times in at least one silicon oxide deposition sub-cycle.

19. The method of claim 13, wherein the deposition super cycle is repeated two or more times.

20. A method of depositing silicon oxide on A metal surface of A substrate selectively relative to A dielectric surface of the substrate, the method comprising alternately and sequentially contacting the substrate with A deposition solution comprising allyltrimethylsilane (TMS-A),Silylating agents of trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (TMSDMA); trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA); and tris (tert-pentyloxy) silanol.

Technical Field

The present disclosure generally relates to the selective deposition of silicon oxide on a metallic or metallic surface of a substrate relative to a dielectric surface of the substrate.

Background

The ever shrinking device dimensions in semiconductor manufacturing require new innovative processing methods. Conventionally, patterning in semiconductor processing involves a subtractive process in which a blanket layer is deposited, masked by photolithographic techniques, and etched through openings in the mask. Additive patterning is also known, in which a masking step precedes the deposition of the material of interest, such as patterning using lift-off techniques or damascene processes. In most cases, expensive multi-step lithographic techniques are applied for patterning.

Patterning can be simplified by selective deposition, which has attracted increasing interest to semiconductor manufacturers. Selective deposition is highly beneficial in various ways. Importantly, it can reduce the number of photolithography steps and thus reduce the processing cost. Selective deposition can also achieve enhanced demagnification in narrow structures.

Thin films comprising silicon dioxide are used in a number of different applications in microelectronic devices, for example, as dielectric materials. Silicon dioxide is one of the most commonly used dielectric materials in silicon microelectronic devices.

Disclosure of Invention

In some aspects, methods of depositing a silicon oxide film on a metal or metallic surface selectively relative to a dielectric surface are provided. In some embodiments, a method of selectively depositing silicon oxide on a metal surface of a substrate relative to a dielectric surface of the substrate comprises, in order: contacting the substrate with a passivating agent; contacting the metal surface with a metal catalyst; and contacting the metal surface with a silicon reactant comprising silanol. In some embodiments, the metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, and Mo. In some embodiments, the dielectric surface comprises silicon oxide. In some casesIn an embodiment, contacting the substrate with the passivating agent results in a selective passivation of the dielectric surface relative to the metal surface. In some embodiments, the passivating agent is a silylating agent. In some embodiments, the silylating agent comprises an alkyl aminosilane. In some embodiments, the alkyl aminosilane has the formula (R)I)3Si(NRIIRIII) Wherein R isIIs straight chain or branched C1-C5Alkyl radicals or straight-chain or branched C1-C4Alkyl radical, RIIIs straight chain or branched C1-C5Alkyl radical, straight-chain or branched C1-C4Alkyl radicals or hydrogen, RIIIIs straight chain or branched C1-C5Alkyl radicals or straight-chain or branched C1-C4An alkyl group. In some embodiments, the silylating agent comprises allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (tmsdmA).

In some embodiments, the metal catalyst comprises Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA). In some embodiments, the metal catalyst is a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments, the metal catalyst is a metal halide, an organometallic compound, or a metal-organic compound.

In some embodiments, the silicon reactant comprises tris (tert-butoxy) silanol (TBS), tris (isopropoxy) silanol (TIS), or tris (tert-pentoxy) silanol (TPS).

In some embodiments, a passivation blocking layer is formed on the metal surface prior to contacting the substrate with the passivating agent. In some embodiments, the passivation blocking layer comprises a polymer or a self-assembled monolayer (SAM).

In some embodiments, the selectivity of the deposition of silicon oxide on the catalyzed metal surface relative to the passivated dielectric surface is greater than about 50%.

In some embodiments, depositing silicon oxide on a metal surface of a substrate selectively relative to a dielectric surface of the substrate comprises depositing a supercycle comprising: contacting the substrate with a silylating agent, and performing one or more silicon oxide deposition sub-cycles comprising alternately and sequentially contacting the substrate with a metal catalyst and a silanol. In some embodiments, the silylating agent is N- (trimethylsilyl) dimethylamine. In some embodiments, the metal catalyst comprises Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA).

In some embodiments, the metal catalyst is a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments, the metal catalyst is a metal halide, an organometallic compound, or a metal-organic compound. In some embodiments, the silane is tris (tert-pentyloxy) silanol. In some embodiments, the silicon oxide deposition sub-cycle is repeated two or more times in the deposition super-cycle. In some embodiments, the substrate is contacted with silanol two or more times in at least one silicon oxide deposition sub-cycle. In some embodiments, the deposition super cycle is repeated two or more times.

In some embodiments, A method of depositing silicon oxide selectively on A metal surface of A substrate relative to A dielectric surface of the substrate comprises alternately and sequentially contacting the substrate with A silylating agent comprising allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (tmsdmA); trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA); and tris (tert-pentyloxy) silanol.

Drawings

FIG. 1 is a flow chart illustrating a deposition process for depositing silicon oxide on a metal surface selectively relative to a dielectric surface.

Fig. 2A is a schematic cross-section of a portion of a substrate having a first dielectric surface and a second adjacent metallic surface.

Fig. 2B is a schematic cross-section of the substrate of fig. 2A after selective passivation of the dielectric surface.

Fig. 2C is a schematic cross-section of the substrate of fig. 2B after selective deposition of an aluminum catalyst on the metal surface.

Fig. 2D is a schematic cross-section of the substrate of fig. 2C after selective deposition of silicon oxide on the metal surface.

Fig. 2E is a schematic cross-section of the substrate of fig. 2D after removal of passivation material from the oxide surface.

Detailed Description

Silicon oxide films such as silicon dioxide films (e.g., SiO) formed by the methods described herein2Films) can be used in a variety of situations. Silicon oxide films, e.g. silicon dioxide films (e.g. SiO)2Films), for example, are used in a variety of semiconductor devices, including CMOS, DRAM, flash memory, and magnetic head applications. Silicon oxides, e.g. silicon dioxide (e.g. SiO)2) And are also commonly used as gate dielectrics for CMOS, as electrical isolation layers, and as gap fill layers. The silicon oxide film, such as a silicon dioxide film (e.g., SiO), can be deposited by exposing silanols to a surface comprising a suitable catalyst2A film). The catalyst prepares the surface to react with the silanol resulting in catalyzed silicon oxide growth on the substrate surface.

In some embodiments, silicon oxide is deposited over a first metallic (or metallic) surface selectively relative to a second dielectric surface, such as an oxide surface, by using a passivating agent in combination with a catalyst. In some embodiments, the dielectric surface may be selectively passivated relative to the metal surface, such as by silylation. Subsequently, a catalyst is deposited on the metal surface selectively with respect to the dielectric surface. The catalyst may be, for example, a metal catalyst, as described in more detail below. A silicon oxide layer is then deposited on the metal surface selectively to the passivated dielectric surface by contacting the substrate with a silicon reactant, such as silanol. In some embodiments, the silicon oxide is deposited after passivation of the dielectric surface without depositing a catalyst on the metal surface. The silicon oxide layer may be deposited by a cyclic vapor deposition process in which the substrate is alternately contacted with a catalyst and silanol until a desired thickness of silicon oxide film has been selectively deposited. In some embodiments, the passivation step may be omitted.

In some embodiments, A dielectric surface, such as an oxide surface, on A substrate is silylated with A silylating agent, such as allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (tmsdmA), A metal catalyst, such as described herein, is selectively deposited on A metal surface of the same substrate, and then silicon oxide is selectively deposited on the metal surface of the substrate relative to the passivated dielectric surface. For example, A silicon oxide layer can be deposited on A metal surface selectively with respect to an adjacent dielectric surface, such as A metal oxide surface, A silicon oxide surface, or A low-k surface, for example, by using allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (TMSDMA) as A passivating agent, Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum dimethyl isopropoxide (DMAI), aluminum tri (t-butyl) aluminum (TTBA), aluminum tri (isopropoxide) aluminum (TIPA) or Triethylaluminum (TEA) as aluminum catalyst, and a silanol such as tri (t-pentyloxy) silanol as silicon reactant.

In some embodiments, the metal or metallic surface of the substrate comprises an elemental metal or metal alloy, while a second, different surface of the substrate comprises a dielectric material such as an oxide. In some embodiments, the dielectric surface and the metal surface are adjacent to each other. Examples of possible dielectric materials include silicon oxide-based materials, including grown or deposited silicon dioxide, doped and/or porous oxides, native oxides on silicon, and the like. In some embodiments, the dielectric material comprises a metal oxide. In some implementations, the dielectric material includes a low-k material.

The surface of the dielectric material may be selectively passivated relative to the metal or metallic surface, such as by selective silylation. In some embodiments, the dielectric surface is contacted with A gas phase passivating agent such as gas phase allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (TMSDMA). The substrate may be contacted with a sufficient amount of passivating agent for a sufficient period of time to selectively passivate the dielectric surface with silicon species. In some embodiments, both surfaces are contacted with a gas phase passivating agent and the dielectric surface is passivated selectively relative to the metal or metallic surface. In some embodiments, the dielectric surface is not passivated with a self-assembled monolayer (SAM).

The catalyst is formed on the metal surface selectively with respect to the dielectric surface, such as by contacting the substrate with a metal catalyst compound. In some embodiments, the catalyst is a metal catalyst. In some embodiments, both the metal surface and the dielectric surface are contacted with a metal catalyst compound. The metal surface comprising the catalyst species may be referred to herein as a "catalyzed metal surface". In some embodiments, the substrate is contacted with a metal catalyst as described below. The catalyst may be, for example, a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn or Ga. In some embodiments, the catalyst is a metal halide, an organometallic, or a metal organic compound. In some embodiments, the catalyst may be a metal oxide. In some embodiments, the catalyst is a compound comprising boron. In some embodiments, the metal catalyst is an aluminum catalyst comprising Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA). In some casesIn one embodiment, the catalyst is a zirconium compound, such as Zr-DO4. In some embodiments, the catalyst is tetrakis (ethylmethylamino) zirconium (TEMAZ). In some embodiments, the catalyst is ZrCl4. In some embodiments, the catalyst is a lanthanum compound, such as tris (isopropyl-cyclopentadienyl) lanthanum (LA (iPrCp)3). In some embodiments, the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl4. In some embodiments, the catalyst is a gallium compound, such as Trimethylgallium (TMG). In some embodiments, the catalyst is a hafnium compound, such as HfCl4Or Hf (NO)3)4

In some embodiments, the catalyst may be preferentially deposited on the metal surface relative to the dielectric surface. In some embodiments, the catalyst is preferentially deposited on the metal surface relative to the passivated dielectric surface. In some embodiments, the passivating agent on the dielectric surface will inhibit or prevent deposition of the aluminum catalyst on the dielectric surface. In some embodiments, a single exposure to the passivating agent can prevent deposition of the catalyst on the dielectric surface in 1, 2, 5, 10, 20, 30, 40, or 50 or more cycles in which the substrate is contacted with the catalyst. In some embodiments, the dielectric surface is not passivated, and the catalyst is selectively deposited on the metal surface in the absence of a passivating material on the dielectric surface. In some embodiments, no catalyst is employed, for example where the metal or the metal of the metallic surface itself can catalyze the deposition of silica.

After depositing the catalyst on the metal or metallic surface, a silicon oxide layer is deposited on the metal or metallic surface selectively with respect to the passivated dielectric surface. For example, the substrate may be exposed to a silicon precursor, such as a silanol. In some embodiments, the substrate is exposed to only the silicon precursor, while in some embodiments, the substrate is exposed to both the silicon precursor and an oxygen precursor such as H2And O. The silicon precursor may react with a surface comprising an aluminum catalyst to form silicon oxide. For example, the substrate may be contacted with a silicon reactant comprising silanol such that the silanol decomposes at catalyst atoms on a metallic or metallic surface, resulting in silicon oxide versus dielectricSelective growth of a surface on a metallic or metallic surface.

In some embodiments, the substrate is contacted with the passivating agent, the catalyst, and the silanol reactant alternately and sequentially in one or more deposition super-cycles. The deposition super-cycle may be repeated multiple times to deposit a desired thickness of silicon oxide film on the metal surface selectively relative to the dielectric surface. Referring to fig. 1, in some embodiments, in a complete deposition super-cycle 100, the substrate is initially contacted with a passivating agent 110, such as a silylating agent. Excess passivating agent may be removed from the substrate surface. A silicon oxide deposition sub-cycle 120 is performed in which the substrate is contacted with a catalyst 130 and a silicon precursor, such as silanol 140. As described above, in some embodiments, the substrate is reacted with an oxygen reactant, such as H, in addition to the silicon reactant2And (4) contacting with O. After each contacting step 130 and 140, excess catalyst and silanol can be removed from the substrate surface. The sub-cycle may be repeated 150 times in a single deposition super-cycle 100. In some embodiments, one, two, three, or more silica deposition sub-cycles are conducted in each deposition super-cycle 100, wherein the substrate is alternately and sequentially contacted with catalyst 130 and silanol reactant 140. That is, multiple silicon oxide deposition sub-cycles 120 may be performed each time the substrate is contacted with the passivating agent 110. In some embodiments, the silicon oxide deposition sub-cycle 120 is repeated up to fifty times before another deposition super-cycle 100 is initiated by contacting the substrate with a passivating agent. In some embodiments, the passivation layer is removed after the deposition sub-cycle and before contacting the substrate with passivating agent 110, such as by plasma etching, for example by contacting the substrate with H2Plasma contact. In this way, the passivation layer may be refreshed one or more times during the deposition process. In some embodiments, the passivation layer is not removed in each deposition super-cycle 100 but only in one or more deposition super-cycles, such as the last deposition super-cycle. The deposition super-cycle 100 can be repeated until a silicon oxide film of a desired thickness has been selectively formed on the metal surface. In some embodiments, the passivating agent is provided only once during the deposition process.

In some embodiments, the metal or metallic surface on which the metal oxide is selectively deposited is at least partially adjacent to the dielectric surface that is selectively passivated. For example, at least a portion of the metallic or metallic surface may be adjacent to a dielectric surface, such as an oxide surface.

In some embodiments, a passivation blocking layer, such as a self-assembled monolayer (SAM), may be provided to a metal or metallic surface prior to forming a passivation layer on a dielectric surface, such as an oxide surface. The passivation blocking layer can facilitate selectivity of the dielectric surface to passivation, such as silylation, and can be removed thereafter to allow selective deposition of the metal catalyst and silicon oxide on the metal or metallic surface relative to the silylated dielectric surface.

The passivation layer on the dielectric surface can be removed (e.g., silylated) from the dielectric surface, such as from the oxide surface, after the selective deposition of the silicon oxide layer over the metal or metallic surface. Conditions may be selected to avoid damage to surrounding materials on the substrate. In some embodiments, the passivation layer on the dielectric surface may be removed and renewed (e.g., silylated) at one or more time intervals during deposition of the silicon oxide layer. For example, the passivation layer may be removed at one or more time intervals during the deposition process, such as by exposure to H2The plasma is then again exposed to a silylating agent before further silicon oxide deposition is performed. In some embodiments, the passivation layer is removed and refreshed in each cycle.

Examples of suitable reactors that may be used in the selective deposition processes described herein include commercially available Atomic Layer Deposition (ALD) equipment. In addition to ALD reactors, many other types of reactors capable of growing organic passivation layers may be employed, including Chemical Vapor Deposition (CVD) reactors, Vapor Deposition Polymerization (VDP) reactors, and Molecular Layer Deposition (MLD) reactors.

Substrate surface

According to some aspects of the present disclosure, selective deposition may be used to deposit a film of interest, such as a silicon oxide film, on a metallic or metallic surface preferentially over an oxide surface or other dielectric surface. Such a substrate is schematically illustrated in fig. 2A. In some embodiments, two surfaces on the substrate are at least partially adjacent to each other. Selective passivation of the oxide surface relative to the metal or metallic surface, such as selective silylation of the oxide surface, will facilitate subsequent selective deposition of the metal catalyst on the metal or metallic surface, followed by selective deposition of a silicon oxide layer on the metal or metallic surface relative to the silylated oxide surface.

In some embodiments, one of the surfaces may be a conductive metal or metallic surface of the substrate, while the other dielectric surface may be a non-conductive oxide surface of the substrate. In some embodiments, the non-conductive oxide surface comprises-OH groups, such as a silicon oxide-based surface (e.g., low-k materials, including grown and deposited silicon oxide materials and native oxides on silicon). The oxide surface may be selectively passivated relative to the metal or metallic surface by exposure to a silylating agent. This is followed by exposure to a metal catalyst and subsequent deposition of silicon oxide on the metal or metallic surface selectively to the silylated oxide surface.

The material difference between the two substrate surfaces allows the vapor deposition process to selectively passivate the oxide surface relative to the metal or metallic surface. In some embodiments, a cyclic vapor deposition, such as a cyclic Chemical Vapor Deposition (CVD) or Atomic Layer Deposition (ALD) process, is used. In some embodiments, selectivity to the passivation layer may be achieved without passivation/blocking agents on the metal or metallic surface (to receive less passivation layer) and/or without catalysts on the surface of the dielectric layer to receive more passivation layer. For example, in embodiments where the first surface is an oxide and the second surface is metallic, the oxide layer may be selectively silylated relative to the metal or metallic surface without pretreatment of the oxide surface or the metal or metallic surface.

In some embodiments, the metal or metallic surface is first treated to inhibit passivation (e.g., silylation) of the surface. In some embodiments, the passivation blocking layer is a polymer layer. In some embodiments, a passivation blocking self-assembled monolayer (SAM) may first be formed over a metal or metallic surface relative to an oxide surface, thereby facilitating selective deposition of the passivation layer on the oxide surface relative to the SAM covering the metallic surface. The passivation inhibitor may be removed after selective passivation and prior to deposition of the catalyst and subsequent deposition of silicon oxide. After the selective deposition of the passivation layer is completed, the selective deposition of the material of interest, such as a catalyst and/or silicon oxide, may be performed on the non-passivated metal or metallic surface relative to the passivated surface.

As used herein, unless otherwise specified, a surface may be a metal or metallic surface if it is referred to herein as a metallic surface. In some embodiments, the metal or metallic surface may comprise surface oxidation. In some embodiments, the material of the metal surface is electrically conductive with or without surface oxidation. In some embodiments, the metal surface comprises one or more transition metals. In some embodiments, the metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, or Mo. In some embodiments, the metal surface comprises Cu. In some embodiments, the metal surface is a copper surface. In some embodiments, the metallic surface comprises titanium nitride. In some embodiments, the metal surface comprises one or more noble metals such as Ru. In some embodiments, the metal surface comprises a metal oxide such as a conductive metal oxide, a metal nitride, a metal carbide, a metal boride, or a combination thereof. For example, the metal or metallic surface may comprise RuOx、NbCx、NbBx、NiOx、CoOx、NbOx、MoOx、WOx、WNCxOne or more of TaN or TiN.

In some embodiments, the metal or metallic surface is a surface that can accept or coordinate with a precursor employed in the selective deposition process of an aluminum catalyst as described herein.

As described above, in some embodiments, the metal or metallic surface may include a passivation blocking layer thereover. That is, in some embodiments, the metal or metallic surface may comprise a material that will inhibit the formation of a passivation layer, such as a self-assembled monolayer (SAM), on the metal or metallic surface. In some embodiments, the deposition process includes forming a passivation blocking layer on the metal or metallic surface but not on the surface to be passivated. After forming the passivation layer on the dielectric surface, the passivation blocking layer can be removed if necessary or desired.

Passivation of substrate surfaces

In some embodiments, an oxide or other dielectric surface of the substrate may be passivated. In some embodiments, passivation is selective to an oxide surface relative to another surface, such as a metal or metallic surface on a substrate (see, e.g., fig. 2B). In some embodiments, the oxide surface is silylated by exposure to a gas phase silylating agent one or more times. For example, in the passivation step, a silylating agent can be introduced into the reaction space and in contact with the substrate surface. The silylating agent can be, for example, a chlorosilane, an alkoxysilane, a silyl halide, a silyl cyanate, a silyl azide, a silyl isocyanate, a silyl isothiocyanate, a silyl sulfonate, a silyl acetamide, a silyl carbodiimide, an allylsilane, or a nitrogen-containing silane such as a silazane, an imidazole, or an amine. In some embodiments, the silylating agent is allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (tmsdmA) and the silylating comprises exposing the substrate to one or more pulses of silylating agent. In some embodiments, both the metal or metallic surface and the oxide surface are contacted with A silylating agent, such as allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (tmsdmA). In some embodiments, the oxide surface of the substrate is selectively silylated relative to the metal or metallic surface of the substrate.

In some embodiments, the silylating agent is an alkyl aminosilane. For example, the oxide surface of the substrate can be made to have the formula (R)I)3Si(NRIIRIII) In which R isIIs straight chain or branched C1-C5Alkyl radicals or straight-chain or branched C1-C4Alkyl radical, RIIIs straight chain or branched C1-C5Alkyl radical, straight-chain or branched C1-C4Alkyl radicals or hydrogen, RIIIIs straight chain or branched C1-C5Alkyl radicals or straight-chain or branched C1-C4An alkyl group.

In some embodiments, the silylating agent is a silane. For example, an oxide surface can be made with a compound having the formula (R)I)3Silane contact of SiA, wherein RIIs straight chain or branched C1-C5Alkyl radicals or straight-chain or branched C1-C4Alkyl group, A is any ligand capable of reacting with a silicon-containing surface.

The silylating agent can be provided to the reaction chamber housing the substrate in a single pulse or in a series of multiple pulses. In some embodiments, the silylating agent is provided in a single long pulse or in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments, the silylating agent is provided in 1 to 25 pulses of about 0.1 to about 60 seconds. In some embodiments, the silylating agent is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to about 30 seconds, or about 25 seconds. Between pulses, the silylating agent can be removed from the reaction space. For example, the reaction chamber may be evacuated and/or purged with an inert gas. Purging may last, for example, from about 1 to about 30 seconds or more. Purging the reaction chamber refers to removing the gas phase passivating agent and/or gas phase byproducts, if any, from the reaction chamber, such as by evacuating the chamber with a vacuum pump and/or replacing the gases within the reactor with an inert gas, such as argon or nitrogen. In some embodiments, the substrate is removed from the reaction space comprising the passivating agent.

In some embodiments, the temperature of the silylation process can be, for example, about 50 to about 500 ℃, or about 100 to about 300 ℃. The pressure during the silylation process can be, for example, about 10-5To about 760 torr, or in some embodiments, from about 1 to about 10 torr or from about 0.1 to about 10 torr.

In some embodiments, the silylation process can be performed in situ, i.e., in the same reaction chamber as a subsequent deposition process, e.g., to selectively deposit an aluminum catalyst on the non-silylated surface relative to the silylated surface and/or to subsequently selectively deposit silicon oxide on the non-silylated surface relative to the silylated surface. However, in some embodiments, silylation may be performed in a reaction chamber separate from one or more subsequent processing steps. In some embodiments, the reaction chamber in which the silylation is performed is part of a cluster tool that includes one or more additional reaction chambers. For example, such cluster tools may include additional reaction chambers for depositing aluminum catalysts, depositing silicon oxide, and/or for etching one or more layers. In some embodiments, a cluster tool includes separate modules for pretreatment, silylation of oxide surfaces, selective deposition of catalysts, selective deposition of silicon oxide, and subsequent post-deposition treatment, such as etching to remove silylation or post-deposition plasma cleaning. In some embodiments, the same module may be used for two or more processes.

In some embodiments, the substrate may be pretreated or cleaned prior to or at the beginning of one or more of the passivation and/or selective deposition processes. In some implementations, the substrate may be subjected to a plasma cleaning process prior to or at the beginning of the selective passivation and/or selective deposition process. In some embodiments, the plasma cleaning process may not include ion bombardment, or may include a relatively small amount of ion bombardment. In some embodiments, the substrate surface may be exposed to plasma, radicals, excited species, and/or atomic species prior to or at the beginning of the passivation process and/or the selective metal oxide deposition process. In some embodiments, the substrate surface may be exposed to a hydrogen plasma, radicals, or atomic species prior to or at the beginning of the selective passivation process and/or the selective metal oxide deposition process.

In some embodiments, the dielectric surface is not passivated prior to depositing the catalyst on the metal surface selectively relative to the dielectric surface.

Selective deposition of metal catalysts on metallic or metallic surfaces relative to oxide surfaces

The catalyst for subsequent deposition of silicon oxide may be deposited on the metal or metallic surface of the substrate selectively to the dielectric surface of the substrate. This surface comprising the catalyst may be referred to as a catalyzed metal surface. In some embodiments, passivation of the dielectric surface is not necessary, and the catalyst is deposited on the metal surface selectively to the dielectric surface, wherein the dielectric surface is not passivated. However, in some embodiments, selective deposition of the catalyst is promoted or improved by passivation of the dielectric surface as described above. Thus, in some embodiments, the catalyst is selectively deposited on the metal or metallic surface relative to the passivated dielectric surface. As shown in fig. 2C, in some embodiments, an aluminum catalyst is selectively deposited on a metal surface relative to a dielectric surface that has been passivated with a silylating compound as described herein.

After the passivation layer is selectively formed on the dielectric surface, in some embodiments, the catalyst is selectively deposited on the second surface by contacting the substrate with a catalyst compound. The catalyst forms catalytic sites on the surface of the metal substrate up to one molecular layer. The catalyst compound preferably catalyzes the reaction to form silica from the vapor phase silanol reactant as described below. Briefly, a substrate is exposed to a silanol, such as TPS, and a silicon oxide film, such as a silicon dioxide film (e.g., SiO)2A film) which typically comprises a plurality of molecular layers. The cycle of exposure to catalyst and silanol can be repeated as necessary to deposit a silica film of desired thickness. In some embodiments, the concentration of silanols can be controlled to achieveThe desired deposition rate. In some embodiments, the substrate temperature may be controlled to achieve a desired deposition rate. In some embodiments, a catalyst is not necessary, and the metal surface itself will catalyze the deposition of silica from silanols.

In some embodiments, the catalyst is a metal catalyst. The catalyst may be, for example, a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn or Ga. In some embodiments, the catalyst is a metal halide, an organometallic, or a metal organic compound.

In some embodiments, the catalyst comprises boron. In some embodiments, the catalyst is an aluminum, boron or zinc alkyl compound capable of reacting with a hydrophobic surface. For example, the catalyst may comprise Triethylaluminium (TMA), Triethylboron (TEB) or diethylzinc.

In some embodiments, the catalyst comprises a compound having the formula MRxA3-xWherein x is 1 to 3 and R is C1-C5An alkyl ligand, M is B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn or Ga, and A is a halide, alkylamine, amino, silyl or a derivative thereof. In some embodiments, R is C1-C3An alkyl ligand. In some embodiments, R is a methyl or ethyl group. In some embodiments, M is boron. In some embodiments, the catalyst is ZnRxA2-xWherein x is 1 to 2 and R is C1-C5An alkyl ligand, and a is a halide, an alkylamine, an amino, a silyl, or a derivative thereof. In some embodiments, R is C1-C3An alkyl ligand. In some embodiments, R is a methyl or ethyl group.

In some embodiments, the catalyst is an aluminum catalyst. Examples of the Al compound which can be used include Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA). In some embodiments, the aluminum catalyst comprises a heteroleptic aluminum compound. In some embodiments, heteroleptic aluminum compoundsComprising an alkyl group and another ligand, such as a halide, e.g. Cl. In some embodiments, the aluminum catalyst comprises dimethyl aluminum chloride. In some embodiments, the aluminum catalyst comprises two different alkyl groups as alkyl precursors for the ligand. In some embodiments, the aluminum compound is aluminum isopropoxide. In some embodiments, the aluminum catalyst comprises a metal organic compound. In some embodiments, the aluminum catalyst comprises an organometallic compound. In some embodiments, the aluminum catalyst is an aluminum compound such as Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (tert-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or Triethylaluminum (TEA).

In some embodiments, the catalyst is a zirconium compound, such as Zr-DO4. In some embodiments, the catalyst is tetrakis (ethylmethylamino) zirconium (TEMAZ). In some embodiments, the catalyst is ZrCl4

In some embodiments, the catalyst is a lanthanum compound, such as tris (isopropyl-cyclopentadienyl) lanthanum (LA (iPrCp)3)。

In some embodiments, the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl4

In some embodiments, the catalyst is a gallium compound, such as Trimethylgallium (TMG).

In some embodiments, the catalyst is a hafnium compound, such as HfCl4Or Hf (NO)3)4

The catalyst may be provided to the reaction chamber housing the substrate in a single pulse or in a series of multiple pulses. In some embodiments, the catalyst is provided in a single long pulse or in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments, the catalyst is provided in 1 to 25 pulses of about 0.1 to about 60 seconds. In some embodiments, the catalyst is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to 30 seconds, or about 25 seconds. Between pulses, excess catalyst may be removed from the reaction space. For example, the reaction chamber may be evacuated and/or purged with an inert gas. Purging may last, for example, from about 1 to 30 seconds or more. Purging refers to removing gas phase catalyst and/or gas phase byproducts, if any, from the reaction chamber, such as by evacuating the chamber with a vacuum pump and/or replacing the gases within the reaction chamber with an inert gas. In some embodiments, the gas phase catalyst is removed from the surface of the substrate by removing the substrate from the reaction space containing the gas phase catalyst.

In some embodiments, the temperature of selective catalyst deposition may be, for example, from about 50 to about 500 ℃, or from about 100 to about 300 ℃. In some embodiments, the deposition temperature is between about 50 ℃ to about 400 ℃. In some embodiments, the deposition temperature is greater than about 100 ℃, and the catalytic chemical is an alkyl aluminum compound, such as TMA. In some embodiments, the catalytic chemical is an alkyl boron compound, such as TEB, and the deposition temperature is between about 50 ℃ to about 400 ℃, between about 100 ℃ to about 350 ℃, or between about 100 ℃ to about 300 ℃. In some embodiments, the catalytic chemical is an alkyl boron compound and the temperature is greater than about 100 ℃. In some embodiments, the deposition temperature is greater than about 300 ℃ and the catalytic chemical is TEB.

In some embodiments, the catalyst comprises a metal compound that is selectively deposited by contacting the substrate with a metal precursor and an oxygen reactant. In some embodiments, the catalyst comprises a metal oxide. In some embodiments, the metal compound is selectively deposited by an ALD process. In some embodiments, the substrate is contacted with the first metal precursor and the second reactant comprising oxygen simultaneously or sequentially in one, two, or more deposition cycles. In some embodiments, the deposition process comprises a plurality of deposition cycles, wherein the substrate is contacted with the first metal precursor and the second reactant alternately and sequentially.

In some embodiments, the first metal precursor is a hydrophobic lewis acid. The hydrophobic metal reactant may comprise at least one hydrophobic hydrocarbon ligand, such as an alkyl, alkenyl, cyclic C3-C8Or an aromatic group. In some embodiments, the first metal precursor may be bis (methylcyclopentadienyl) methoxymethylzirconium.

In some embodiments, the first metal precursor comprises a transition metal. In some embodiments, the first precursor does not comprise a noble metal, such as Ru.

In some embodiments, the first metal precursor may comprise at least one alkyl ligand, such as C1-C4An alkyl ligand. In some embodiments, the first metal precursor may comprise an organometallic or a metal-organic compound. In some embodiments, the first metal precursor may comprise at least one cyclopentadienyl (Cp) ligand. In some embodiments, the first metal precursor may comprise a formamidine anion or an amidine anion compound. In some embodiments, the first metal precursor may comprise a beta-diketone anion compound. In some embodiments, the first metal precursor may comprise an alkylamino compound, such as a dialkylamino compound. In some embodiments, the first metal precursor may comprise an alkylamino ligand, such as-NMe2、-NEt2or-NEtMe.

In some embodiments, the first metal precursor may comprise magnesium. In some embodiments, the first metal precursor may be an organometallic or metal-organic compound comprising magnesium. For example, in some embodiments, the first metal precursor may comprise Mg (Cp)2Or a derivative thereof.

In some embodiments, the first metal precursor may comprise lanthanum. In some embodiments, the first metal precursor may be an organometallic compound comprising lanthanum. In some embodiments, the first metal precursor may comprise lanthanum formamidinate (La (FAMD)3)。

In some embodiments, the first metal precursor may comprise hafnium. In some embodiments, the first metal precursor may comprise an organometallic compound comprising hafnium. For example, in some embodiments, the first metal precursor may comprise an alkylaminohalium compound, such as tetrakis (ethylmethylamino) hafnium (TEMAH, Hf (NEtMe)4) Or a derivative thereof.

In some embodiments, the first metal precursor has the formula:

MgL2 (I)

wherein Mg is magnesium, and wherein each L is independently selected to be a hydrocarbyl group. In some embodiments, each L may be a linear, branched, cyclic alkyl or unsaturated hydrocarbon group, such as an alkenyl, alkynyl, aromatic, cyclopentadienyl, phenyl, cyclooctadienyl or cycloheptatrienyl group. In some embodiments, one or both L may be cyclopentadienyl groups. In some embodiments, one or both L may be a bidentate ligand, such as a β -diketone anion, guanidine anion, or amidine anion. In some embodiments, the beta-diketone anionic ligand can be an acetylacetone anion or a 2,2,6, 6-tetramethyl-3, 5-heptanedione anionic ligand (THD).

In some embodiments, the first metal precursor is a cyclopentadienyl compound or derivative thereof, such as an alkyl-substituted cyclopentadienyl compound, and has the formula:

Mg(R1R2R3R4R5Cp)2 (II)

wherein R is1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the radicals and R5Each of the groups can be independently selected to be hydrogen or a substituted or unsubstituted alkyl group. In some embodiments, R1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the radicals and R5Each of the groups can be independently selected as hydrogen or straight or branched C1-C5An alkyl group. In some embodiments, R1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the radicals and R5Each of the groups can be independently selected to be hydrogen or C1-C3Alkyl groups such as methyl, ethyl, n-propyl or isopropyl groups. In some embodiments, the first precursor is Mg (Cp)2

In some embodiments, the first metal precursor comprises one or more ligands, such as cyclopentadienyl ("Cp") ligands. These first precursor compounds may be selected from the following compounds:

(Cp)xLa (III);

(Cp)xLyLa (IV);

(Cp)xWnLa (V);

(CP)xLyWnLa (VI);

la is lanthanum and Cp is a cyclopentadienyl or cyclooctadienyl group, such that the Cp groups in formulas I-IV may be the same or different from each other; x represents the number of Cp ligands and is an integer from 1 to the oxidation state of La; it should be noted that cyclooctadiene is generally abbreviated as Cod, but is abbreviated herein by using the single general abbreviation Cp for cyclopentadienyl and cyclooctadiene;

Lyis a neutral adduct ligand that binds to the metal from one or more atoms thereof and wherein y represents the number of bound ligands; and

w is some other ligand having a valence less than Cp and wherein n represents the number of ligands. In some embodiments, W is an amidine anion or a formamidine anion. In some embodiments, W is a β -diketone anion or its corresponding sulfur or nitrogen compound, halide, amide, alkoxide, carboxylate, or schiff base.

In equations I-IV, the cyclopentadienyl and/or cyclooctadienyl groups may be in the same molecule such that in the case of C substituted or unsubstituted with a heteroatom selected from Si, N, P, Se, S or B1-C6A bridge is present between the two Cp groups of the chain composition.

In some embodiments, L is independently selected from:

(i) a hydrocarbon(s) is (are) present,

(ii) a hydrocarbon containing oxygen, which is a hydrocarbon containing oxygen,

(iii) a hydrocarbon containing nitrogen, which is a hydrocarbon containing nitrogen,

(iv) a hydrocarbon containing sulfur, which is a hydrocarbon containing sulfur,

(v) a hydrocarbon containing phosphorus, wherein the hydrocarbon is a hydrocarbon,

(vi) a hydrocarbon containing arsenic, which is a hydrocarbon containing arsenic,

(vii) selenium-containing hydrocarbons and/or

(viii) Tellurium-containing hydrocarbons

In some embodiments, L is and is independently selected from:

(a) an amine or a polyamine, wherein the amine or polyamine is selected from the group consisting of,

(b) the content of the bipyridine is as follows,

(c) a ligand according to the chemical scheme:

wherein G is-O-, -S-or-NR1Wherein R is1Independently selected from hydrogen or a substituted or unsubstituted, cyclic, linear or branched alkyl, alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, cyano or silyl group. R1The cyclic or aromatic ring in (a) may contain a heteroatom. Hydrogen or R1The-type substituents may also be attached to carbon atoms in formula V, or

(d) An ether or a thioether.

A cyclopentadienyl or cyclooctadienyl group, Cp in formulas I-IV having the following form:

Cp′RmHa-m (VII)

wherein m is an integer of 0 to 8 when a is 8, m is an integer of 0 to 5 when a is 5,

cp' is a condensed or isolated cyclopentadienyl or cyclooctadienyl group, and

r is an independently selected hydrocarbon fragment containing 1-6 carbon atoms, such as C1-C6A hydrocarbon.

In some embodiments, each R ligand may be the same as each other, or each R ligand may be different from each other. That is, each R ligand may be independently selected. In some embodiments, R may be a substituted or unsubstituted, cyclic, linear, or branched alkyl alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, amino, cyano, or silyl group. The cyclic or aromatic ring of the substituent may contain a heteroatom. Examples of substituents are methyl, ethyl, propyl and isopropyl groups.

The neutral adduct ligands L shown in equations II and IV can be independently selected from ethers, amines or solvent molecules such as tetrahydrofuran, which form a bond with one atom to the metal. Examples of suitable neutral adduct ligands that form bonds with metals using several atoms are polyethers and polyamines.

In some embodiments, the first metal precursor may comprise at least one cyclopentadienyl ligand and may be written according to formula VIII:

(R1R2R3R4R5Cp)x—MR0 z—(R6)y (VIII)

wherein M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y, and lanthanides;

wherein R is0Each of the radicals R1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the radicals and R5Each of the groups may be independently selected from:

i. hydrogen;

independently substituted or unsubstituted straight and branched C1-C6Alkyl, alkenyl and alkynyl groups;

carbocyclic groups such as aryl, phenyl, cyclopentadienyl, alkylaryl and halocarbocyclic groups; and

a heterocyclic group;

wherein R is6Independently selected from:

i. hydrogen;

independently substituted or unsubstituted straight and branched C1-C6Alkyl, alkenyl and alkynyl groups;

carbocyclic groups such as aryl, phenyl, cyclopentadienyl, alkylaryl and halocarbocyclic groups;

a heterocyclic group; and

v.NR1R2(ii) a And

where x and y are both 1 and z 0.

In some embodiments, the first metal precursor comprising a cyclopentadienyl compound comprises at least one ligand bonded to the metal via nitrogen, as depicted in formula IX:

(R1R2R3R4R5Cp)x—MR0 z—(NR1R2)y (IX)

wherein M is a metal selected from Mg, Sr, Ba, Sc, Y or the lanthanide series;

wherein R is0Each of the radicals R1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the radicals and R5Each of the groups is independently selected from:

i. hydrogen;

independently substituted or unsubstituted straight and branched C1-C6Alkyl, alkenyl and alkynyl groups;

carbocyclic groups such as aryl, phenyl, cyclopentadienyl, alkylaryl and halocarbocyclic groups; and

a heterocyclic group; and

where x and y are both 1 and z 0.

In formula IX, the alkyl, alkenyl and alkynyl groups may be selected from any straight or branched chain alkyl, alkenyl and alkynyl groups having from 1 to 6 carbon atoms. Examples of such alkyl groups include methyl; an ethyl group; n-propyl and isopropyl; n-butyl, isobutyl, and tert-butyl; n-pentyl and isopentyl (amyl); n-pentyl and isopentyl (pentyl); n-hexyl and isohexyl; and 2, 3-dimethyl-2-butyl. In some embodiments, alkyl groups are used. In other embodiments, C may be used1-6Alkenyl and alkynyl groups, including the corresponding groups with the corresponding unsaturation.

In some embodiments, the first metal precursor is a compound having at least one cyclopentadienyl ligand and at least one chelating ligand, such as a bidentate ligand. In some embodiments, the compound is represented by formula X: (R)1R2R3R4R5Cp)x—MR0 z—(NR1NR2R)yAnd (3) drawing:

wherein M is a metal selected from Mg, Sr, Ba, Sc, Y or the lanthanide series;

wherein R may be any of independently substituted or unsubstituted straight and branched C1-C6An alkyl, alkenyl or alkynyl group, and R may be bonded to the two bridging nitrogen atoms at any point of the alkyl, alkenyl and alkynyl groups;

wherein R is0Each of the radicals R1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the radicals and R5Each of the groups may be independently selected from:

i. hydrogen;

independently substituted or unsubstituted straight and branched C1-C6Alkyl, alkenyl and alkynyl groups;

carbocyclic groups such as aryl, phenyl, cyclopentadienyl, alkylaryl and halocarbocyclic groups; and

a heterocyclic group; and

where x and y are both 1 and z 0.

In some other embodiments, the first metal precursor can be represented by formula XI: (R)1R2R3R4R5Cp)x—MR0 z—[(NR1NR2)CNR3]yAnd (3) drawing:

wherein M is a metal selected from Mg, Sr, Ba, Sc, Y or the lanthanide series;

wherein R is0Each of the radicals R1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the radicals and R5Each of the groups may be independently selected from

i. Hydrogen;

independently substituted or unsubstitutedSubstituted straight and branched C1-C6Alkyl, alkenyl and alkynyl groups;

carbocyclic groups such as aryl, phenyl, cyclopentadienyl, alkylaryl and halocarbocyclic groups; and

a heterocyclic group; and

where x and y are both 1 and z 0.

In a further embodiment, the first metal precursor is represented by formula XII below: (R)1R2R3R4R5Cp)x—MR0 z—[(NR1NR2)CNR3R4]yAnd (3) drawing:

wherein M is a metal selected from Mg, Sr, Ba, Sc, Y or the lanthanide series;

wherein R is0Each of the radicals R1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the radicals and R5Each of the groups may be independently selected from:

i. hydrogen;

independently substituted or unsubstituted straight and branched C1-C6Alkyl, alkenyl and alkynyl groups;

carbocyclic groups such as aryl, phenyl, cyclopentadienyl, alkylaryl and halocarbocyclic groups; and

a heterocyclic group; and

where x and y are both 1 and z 0.

In some embodiments, the first metal precursor, as described in formulas VIII-XII, may comprise R0、R1、R2、R3、R4、R5And R6Wherein R is0Each of the radicals R1Each of the radicals R2Each of the radicals R3Each of the radicals R4Each of the groupsA and R5Each of the radicals and R6Each of the groups may be independently selected from

i. Hydrogen;

independently substituted or unsubstituted straight and branched C1-C6Alkyl, alkenyl and alkynyl groups;

carbocyclic groups such as aryl, phenyl, cyclopentadienyl and alkylaryl; and

heterocyclic radical iv

Optionally, the first metal precursor as described may comprise a modified cyclopentadienyl group. In some embodiments, the modified cyclopentadienyl group is selected from Me5Cp, MeCp, EtCp and Me3SiCp. In further embodiments, the first metal precursor can comprise an anionic or dianionic guanidine anion ligand, such as a triisopropylguanidine anion ligand.

In some embodiments, the second reactant comprises oxygen and may be referred to herein as an oxygen precursor, an oxygen reactant, an oxygen-containing precursor, or an oxygen-containing reactant. In some embodiments, the second reactant comprises molecular oxygen (O)2). In some embodiments, the second reactant does not comprise a second oxygen other than oxygen2An external oxygen-containing compound. In some embodiments, the second reactant does not comprise O3Or H2And O. In some embodiments, the second reactant does not comprise a plasma, such as an oxygen plasma. In some embodiments, the second reactant is reacted with an inert gas such as N2He or Ar are supplied together or mixed therewith.

In some embodiments, the second reactant comprises molecular oxygen and less than about 50%, 25%, 15%, 10%, 5%, 1%, or 0.1% of impurities other than inert gas.

In some embodiments, the selective catalyst deposition process may be performed in situ, i.e., in the same reaction chamber as a previous passivation and/or subsequent deposition process, e.g., a subsequent selective deposition of silicon oxide on a non-silylated surface relative to a silylated surface. However, in some embodiments, selective catalyst deposition may be performed in a reaction chamber separate from one or more subsequent processing steps, for example in one chamber that is part of a cluster tool.

In some embodiments, the substrate, particularly the metal surface, may be pretreated or cleaned prior to or at the beginning of the selective catalyst deposition.

Selective deposition of silicon oxide on catalyzed metal surfaces relative to dielectric surfaces

Following passivation of the dielectric surface (if performed) and selective deposition of the catalyst on the metal surface (if performed), silicon oxide may be deposited on the metal surface of the substrate selectively with respect to the dielectric surface. In some embodiments, silicon oxide is selectively deposited on the metal surface by contacting the substrate with a silicon reactant, such as a silanol (see, e.g., fig. 2D). In some embodiments, the substrate surface is contacted with a silicon reactant and an oxygen reactant such as H2And (4) contacting with O. The formation of silica is catalyzed by the presence of a catalyst on the metal surface, or by the metal surface itself without the use of a catalyst.

One or more silanols, such as alkoxysilanol or alkoxysilane diol, may be used as the silicon reactant. In some embodiments, the silicon reactant may comprise one or more tri (tertiary-alkoxy) silanols, di (alkoxy) alkylsilanols, di (alkoxy) silanediols, or bis (tertiary-alkoxy) silanediols. In some embodiments, the silanol may be selected from one or more of tris (tert-butoxy) silanol (TBS), tris (isopropoxy) silanol (TIS), and tris (tert-pentoxy) silanol (TPS). Silanols are compounds containing silicon bound to one or more hydroxyl (OH) groups. In some embodiments, the silanol comprises more than one OH "group bonded directly to a silicon atom. Silanol compounds include, but are not limited to, alkoxy silanols, alkoxy alkylsilanols, and alkoxy silanediols. In some embodiments, the silicon precursor comprises TPS. In some embodiments, the silicon source is a di (alkoxy) silane diol.

In some embodiments, only a single pulse of silanol is provided after the catalyst has been deposited on the metal surface. In some embodiments, a single silanol pulse is used to deposit a silicon dioxide film on the substrate having a thickness of greater than 5 angstroms on the top surface of the metal surface. As described above, in some embodiments, the substrate may be contacted with the catalyst and silanol in one or more silicon oxide deposition sub-cycles. The sub-cycle can be repeated until a desired thickness of silicon oxide film has been selectively formed over the metal surface. In some embodiments, a single subcycle may be all that is required to obtain a silica film of a desired thickness. In other embodiments, the steps may be repeated 2,3, 4, 5, 6, 7, 8, 9, 10 or more times.

In some embodiments, more than one silanol pulse is provided in each deposition supercycle. For example, a catalyst pulse may be followed by two, three or more silanol pulses. In some embodiments, the catalyst pulse is followed by two silanol pulses. Each silanol pulse may be separated by a purge step. In other embodiments, each silanol pulse is provided after a predetermined time delay without an intermediate purge step.

Although generally described as beginning with providing a catalyst, each silica deposition sub-cycle may begin with either reactant. However, as the skilled artisan will recognize, if the first sub-cycle begins with silanol reactant, deposition may not begin until the second deposition super-cycle.

With respect to catalysts, surface saturation will ensure that the catalyst occupies all available reaction sites (e.g., limited by physical size or "steric hindrance") and thus ensures excellent step coverage. However, in some embodiments, the catalyst may be provided in an unsaturated or undersaturated amount. For example, in deep trench structures, it is important to form a "collar," which is an etch stop layer that must extend along only a portion of the trench. In this example, an undersaturation pulse of catalyst may be used to preferentially deposit catalyst along the collar region as compared to the surface further down in the trench. Thus, the silica deposition occurs only at the depth reached by the catalyst and therefore the extent of the silica deposition is limited to the desired depth. Thus, in some embodiments, the catalyst is dosed to provide a predetermined amount of catalyst and a predetermined amount of silica deposition.

With respect to the silanol reactant, in some embodiments, a pulse of saturation of the silanol is provided. However, since the growth rate of silicon dioxide depends in part on the diffusion of the precursor through the growing film, the growth rate can be controlled, for example, by controlling the precursor dose, purge time, and/or temperature. Thus, in some embodiments, a non-saturating dose of silanol can be provided. In some embodiments, the dosage and/or exposure time of the silanol reactant can be limited to provide a particular thickness and/or a particular depth of silica in a given reaction cycle.

In some embodiments, a silicon dioxide film is formed on a metal surface of a substrate selectively to a dielectric surface by selecting a catalyst capable of reacting with the metal surface and performing a deposition process comprising one or more silicon dioxide deposition sub-cycles, wherein each silicon dioxide deposition sub-cycle comprises:

providing a pulse of a first vapor phase reactant comprising a metal catalyst into a reaction chamber to form no more than about a single molecular layer of catalyst on a metal surface of a substrate;

removing excess catalyst from the reaction chamber;

providing a second gas phase reactant pulse comprising silanol to the reaction chamber; and

excess second reactant and reaction byproducts (if any) are removed from the reaction chamber.

In some embodiments, a silicon oxide film is deposited on one or more metallic or metallic surfaces, such as copper, cobalt, titanium nitride, or tungsten surfaces, selectively relative to one or more dielectric surfaces.

The thickness of the film can be adjusted to the particular case. In some embodiments, a silicon dioxide film in the range of a few angstroms to a few nanometers is deposited. In some embodiments, less than about 2nm of the silicon dioxide film is deposited. In some embodiments, less than about 3nm of the silicon dioxide film is deposited. In some embodiments, one or both of the catalyst and silanol should be under dosed to achieve deposition of a film of less than about 2nm or less than about 3 nm. The thin film may be deposited in one deposition super cycle or in multiple deposition super cycles.

The substrate is typically heated to a suitable growth temperature before beginning deposition of the film. In some embodiments, the silicon dioxide thin film is grown at a temperature of less than about 500 ℃, less than about 400 ℃, less than about 300 ℃, less than about 200 ℃, less than about 150 ℃, or even less than about 125 ℃. The temperature is generally chosen such that the catalyst does not decompose. In some embodiments, the deposition process may be performed at a temperature above about 100 ℃, for example with TMA as the catalyst.

In some embodiments, the pulse time of the reactants may be from about 0.1 to about 10 seconds, and the purge time between reactant pulses may also be from about 0.1 to about 10 seconds.

The pressure in the reaction chamber is typically from about 0.1 mtorr to about 5 torr, more preferably from about 0.1 mtorr to about 3 torr, and most preferably from about 0.2 mtorr to about 3 torr. However, in some cases, the pressure will be above or below this range, as readily determined by the skilled artisan.

In one embodiment, a silicon oxide such as silicon dioxide (e.g., SiO) is deposited on a metal surface of a substrate relative to a passivated dielectric surface at a temperature of about 150 ℃ in a silicon oxide deposition sub-cycle2). TMA was pulsed into the reaction chamber for 150ms followed by a 3s purge. The TPS was then pulsed into the reaction chamber for 100s followed by a 90s purge.

Post-deposition treatment

After selective deposition of the metal oxide, the substrate may be subjected to a post-deposition cleaning step as described above to remove the passivation layer from the oxide surface (see, e.g., fig. 2E). In some embodiments, the cleaning step can include H2And (4) carrying out plasma treatment. In some embodiments, the cleaning step is performed at a temperature of from about room temperature to about 400 ℃. In some embodiments, a plasma power of about 25 to about 250W may be used to flow H2For example, at a flow rate of about 10 to about 500 sccm. In some casesIn embodiments, the cleaning time after depositing the metal oxide layer may be, for example, about 0.1 to about 600 seconds or more.

In some embodiments, a silicon oxide film is deposited on a metal or metallic surface of a three-dimensional structure selectively relative to one or more passivated dielectric surfaces. The three-dimensional structure may include, for example, a via or a trench. In some embodiments, the dielectric surface can be selectively passivated and the aluminum catalyst deposited on the metal surface prior to depositing the silicon oxide film.

Passivation barrier layer

The passivation stop layer may facilitate the selective formation of a passivation layer over the dielectric material relative to the passivation stop layer. As described above, in some embodiments, a self-assembled monolayer (SAM) may be used to inhibit silylation of a metal or metallic surface, thereby facilitating selective passivation of the dielectric surface. In some embodiments, a non-SAM passivation blocking layer is used. The term "blocking" is thus merely a label and does not necessarily imply 100% passivation of the passivation layer deposition. As described elsewhere herein, even imperfect selectivity is sufficient to obtain a fully selective structure, for example, after an etch-back process.

Selectivity is

The selective passivation and/or selective deposition may be fully or partially selective. A partially selective process may be followed by a post-deposition etch that removes all of the deposited material from over one surface without removing all of the deposited material from over a second surface, thereby forming a fully selective layer. Thus, in some embodiments, selective deposition need not be fully selective in order to obtain the desired benefits.

The selectivity of deposition (or passivation) on a first surface, referred to herein as surface a, relative to a second surface, referred to as surface B, can be given by the percentage calculated from [ (deposition on surface a) - (deposition on surface B) ]/(deposition on surface a). Deposition can be measured in any of a variety of ways. For example, deposition may be given as a measured thickness of the material deposited, or a measured amount of the material that may be deposited. In embodiments described herein, the oxide surface (a) may be selectively passivated relative to the metal or metallic surface (B). With respect to passivation, if passivation is caused by treatment of the substrate surface rather than deposition of a layer, the amount of passivation may be a measure of the available reactive sites on the substrate surface that have reacted with the passivating agent. Subsequently, a metal oxide layer is deposited on the metal or metallic surface (B) selectively with respect to the passivation layer over the oxide surface (a).

In some embodiments, the selectivity of the selective formation of the passivation layer on the dielectric surface (relative to the metallic or metallic surface) is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99%, or even greater than about 99.5%.

In some embodiments, the selectivity of depositing the catalyst on the metal or metallic surface relative to the passivated dielectric surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99%, or even greater than about 99.5%.

In some embodiments, the selectivity of depositing the catalyst on the metal or metallic surface relative to an unpassivated dielectric surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99%, or even greater than about 99.5%.

In some embodiments, the selectivity of depositing silicon oxide on a catalyzed metal or metallic surface (relative to a passivated or unpassivated dielectric surface) is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99%, or even greater than about 99.5%.

In some embodiments, deposition occurs only on one surface and not on the other surface.

In some embodiments, passivation of the dielectric surface by silylation is at least about 80% selective relative to the metal or metallic surface of the substrate. In some embodiments, the passivation process is at least about 50% selective. In some embodiments, the passivation process is at least about 10% selective. The skilled artisan will appreciate that the partial selectivity process may result in a fully selective passivation of the oxide surface by a post-deposition etch that will remove any silylation from other surfaces.

In some embodiments, the deposition of the catalyst on the metal surface is at least about 80% selective relative to the passivated dielectric surface of the substrate. In some embodiments, the catalyst deposition process is at least about 50% selective. In some embodiments, the catalyst deposition process is at least about 10% selective. The skilled artisan will appreciate that the partial selectivity process may result in a fully selective deposition on the metal surface by a post-deposition etch that will remove any catalyst from the dielectric surface.

In some embodiments, the deposition of silicon oxide on the catalyzed metal or metallic surface of the substrate relative to the silylated oxide surface of the substrate is at least about 80% selective. In some embodiments, the deposition of silicon oxide on the catalyzed metal or metallic surface of the substrate relative to the silylated oxide surface of the substrate is at least about 50% selective. In some embodiments, the deposition of silicon oxide on the catalyzed metal or metallic surface of the substrate relative to the silylated oxide surface of the substrate is at least about 10% selective. One skilled in the art will appreciate that a partial selective process may be followed by a post-deposition etch (or other treatment) that will remove substantially all of the deposited material from over the silylated dielectric surface. In addition, post-deposition processing may also help to customize the location and/or profile of the selectively deposited layers.

Selective deposition of silicon oxide on metallic or metallically formed surfaces

Figures 2A-2E schematically illustrate one embodiment of selectively passivating a first dielectric surface relative to a second metallic or metallic surface, and then selectively depositing silicon oxide on the second metallic or metallic surface relative to the passivated first oxide surface.

Fig. 2A illustrates a substrate having a substantially different surface exposed. For example, the first surface may include or be defined by a dielectric material 220, such as a silicon oxide-based layer or a silicon surface having a native oxide formed thereon. The second surface may include or be defined by a metal 210, such as copper (Cu).

Fig. 2B shows the substrate of fig. 2A after selective passivation of the dielectric surface, such as by silylation. For example, the passivation layer 230 can be selectively formed on the dielectric surface 220 by exposing the substrate to A silylating agent such as allyltrimethylsilane (TMS-A), trimethylchlorosilane (TMS-Cl), N- (trimethylsilyl) imidazole (TMS-Im), Octadecyltrichlorosilane (ODTCS), Hexamethyldisilazane (HMDS), or N- (trimethylsilyl) dimethylamine (TMSDMA).

Fig. 2C shows the substrate of fig. 2B after selective deposition of an aluminum catalyst 240 on the metal surface 210 relative to the passivation layer 230 on the dielectric surface 220. The aluminum catalyst 240 may be formed by exposing the substrate to an aluminum reactant such as Trimethylaluminum (TMA), dimethylaluminum chloride, aluminum trichloride (AlCl)3) Aluminum Dimethylisopropoxide (DMAI), aluminum tri (t-butyl) aluminum (TTBA), aluminum tri (isopropoxide) (TIPA), or aluminum Triethyl (TEA) are selectively formed on the metal surface 210. Although illustrated with an aluminum catalyst, in other embodiments, metal catalysts or other catalysts comprising other metals may be used as described herein.

Fig. 2D shows the substrate of fig. 2C after selective deposition of silicon oxide 250 on the catalyzed metal surface 210 relative to the dielectric surface 220. In some embodiments, the silicon oxide 250 is formed by exposing the substrate to a silanol reactant, such as tris (tertiary-pentoxy) silanol. The silanol reactant can decompose on the aluminum atoms on the catalyzed metal surface, resulting in the deposition of silicon oxide on the metal surface.

As described above, any silicon oxide deposited on the dielectric layer, e.g., on the passivated dielectric layer, may be removed by post-deposition processing, e.g., an etch-back process. This etch back process may also remove the silylation from the dielectric surface. Because the silicon oxide is selectively deposited on the metal surface, any silicon oxide left on the passivated surface will be thinner than the silicon oxide formed on the metal surface. Thus, post-deposition processing can be controlled to remove all silicon oxide above the dielectric surface without removing all silicon oxide from above the metal surface. Repeating the selective deposition and etch back in this manner can result in an increase in the thickness of silicon oxide on the metal surface per deposition and etch cycle. Repeating the selective deposition and etch back in this manner may also result in an increase in the overall selectivity of the silicon oxide on the metal or metallic surface, as each deposition and etch cycle leaves a clean passivation layer over which the selective silicon oxide deposition nucleates poorly. In other embodiments, the silicon oxide over the dielectric surface may be removed during subsequent removal of the passivation layer. For example, a direct etch or lift-off process may be used to remove silicon oxide from the passivation layer surface in a cyclic selective deposition and removal.

Fig. 2E shows the substrate of fig. 2D after a post-deposition treatment, such as by an etching process, to remove the passivation layer 230 from the dielectric surface 220. In some embodiments, the etching process may include exposing the substrate to a plasma. In some embodiments, the plasma may comprise oxygen atoms, oxygen radicals, oxygen plasma, or combinations thereof. In some embodiments, the plasma may comprise hydrogen atoms, hydrogen radicals, hydrogen plasma, or combinations thereof. In some embodiments, the plasma may include an inert gas species, such as an Ar or He species. In some embodiments, the plasma may consist essentially of inert gas species. In some cases, the plasma may contain other species, such as nitrogen atoms, nitrogen radicals, nitrogen plasma, or combinations thereof. In some embodiments, the etching process may include exposing the substrate to an etchant comprising oxygen, such as O3. In some embodiments, the substrate may be exposed to the etchant at a temperature between about 30 ℃ and about 500 ℃, or between about 100 ℃ and about 400 ℃. In some embodiments, the etchant may be supplied in one continuous pulse or may be supplied in multiple pulses. As described above, the passivation layer can be used in cyclical selective deposition and removalRemoval is performed to strip any remaining metal oxide from over the oxide layer, either with complete removal of the passivation layer or with partial removal of the passivation layer.

Additional treatments, such as thermal or chemical treatments, may be performed before, after, or between the aforementioned processes. For example, the treatment may alter the surface or remove a portion of the metal, silicon oxide, passivation, and metal oxide surface exposed at various stages of the process. In some embodiments, the substrate may be pretreated or cleaned prior to or at the beginning of the process. In some embodiments, the substrate may be subjected to a plasma cleaning process as described above.

While certain embodiments and examples have been discussed, it will be understood by those skilled in the art that the scope of the claims extends beyond the specifically disclosed embodiments to other alternative embodiments and/or uses and obvious modifications and equivalents thereof.

24页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体成膜APCVD机台工艺腔体干湿结合的保养方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!