Method for depositing thin film in groove

文档序号:1866009 发布日期:2021-11-19 浏览:22次 中文

阅读说明:本技术 沟槽中薄膜沉积的方法 (Method for depositing thin film in groove ) 是由 郭津睿 卢多维克·戈代 罗格·梅耶·蒂默曼·蒂杰森 徐永安 杨政翰 陈建安 于 2020-02-19 设计创作,主要内容包括:本公开内容的实施方式总体涉及在沉积、蚀刻和/或固化工艺期间利用掩模来处理含有基板的工件,以在工件上具有局部的沉积。将掩模放置在工件的第一层上,所述掩模保护复数个沟槽免受第二层的沉积的影响。在一些实施方式中,在第二层的沉积之前放置掩模。在其他实施方式中,在沉积掩模之前使第二层固化。在其他实施方式中,在沉积掩模之后蚀刻第二层。本文中所公开的方法允许在工件中所存在的沟槽中的一些中沉积第二层,而同时至少部分地防止在工件中所存在的其他沟槽中沉积第二层。(Embodiments of the present disclosure generally relate to processing a workpiece containing a substrate with a mask during a deposition, etching, and/or curing process to have localized deposition on the workpiece. A mask is placed on the first layer of the workpiece, the mask protecting the plurality of trenches from deposition of the second layer. In some embodiments, the mask is placed prior to the deposition of the second layer. In other embodiments, the second layer is cured prior to depositing the mask. In other embodiments, the second layer is etched after the mask is deposited. The methods disclosed herein allow for the deposition of the second layer in some of the trenches present in the workpiece while at least partially preventing the deposition of the second layer in other trenches present in the workpiece.)

1. A method for processing a workpiece, comprising the steps of:

applying a mask over a first layer disposed on a substrate, wherein the mask covers a first portion of the first layer while leaving a second portion of the first layer exposed;

depositing a second layer on the second portion of the first layer;

removing the mask from the first portion of the first layer, wherein the first portion of the first layer is exposed and the second portion of the first layer contains the second layer deposited on the second portion of the first layer; and

exposing the second layer to a curing process.

2. The method of claim 1, wherein the first portion of the first layer comprises a first plurality of trenches, and at least one of the first plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

3. The method of claim 1, wherein the second portion of the first layer comprises a second plurality of trenches, and at least one of the second plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

4. The method of claim 3, wherein the first portion of the first layer comprises a first plurality of trenches, and at least one of the first plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

5. The method of claim 1, wherein the curing process is selected from the group consisting of a thermal curing process, an ultraviolet curing process, a plasma assisted process, an ion beam process, an electron beam process, a baking process, and any combination thereof.

6. A method for processing a workpiece, comprising the steps of:

depositing a second layer comprising an uncured composition on the first layer disposed on the substrate;

applying a mask over the second layer, wherein the mask covers a first portion of the second layer while leaving a second portion of the second layer exposed;

exposing the mask and the second portion of the second layer to a curing process, wherein the mask shields the first portion of the second layer from the curing process while the second portion of the second layer is at least partially cured during the curing process, and wherein after the curing process, the first portion of the second layer comprises the uncured composition and the second portion of the second layer comprises a cured composition formed from the uncured composition; and

removing the mask and the first portion of the second layer comprising the uncured composition.

7. The method of claim 6, wherein the first portion of the first layer comprises a first plurality of trenches, and at least one of the first plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

8. The method of claim 6, wherein the second portion of the first layer comprises a second plurality of trenches, and at least one of the second plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

9. The method of claim 8, wherein the first portion of the first layer comprises a first plurality of trenches, and at least one of the first plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

10. The method of claim 8, wherein the mask and the first portion of the second layer are removed during the same process.

11. A method for processing a workpiece, comprising the steps of:

depositing a second layer comprising an uncured composition on the first layer disposed on the substrate;

applying a mask over the second layer, wherein the mask covers a first portion of the second layer while leaving a second portion of the second layer exposed;

exposing the mask and the second portion of the second layer to an etching process, wherein the mask shields the first portion of the second layer from the etching process while the second portion of the second layer is at least partially etched during the etching process; and

the mask is removed.

12. The method of claim 11, wherein the first portion of the first layer comprises a first plurality of trenches, and at least one of the first plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

13. The method of claim 11, wherein the second portion of the first layer comprises a second plurality of trenches, and at least one of the second plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

14. The method of claim 13, wherein the first portion of the first layer comprises a first plurality of trenches, and at least one of the first plurality of trenches is angled about 15 ° to about 75 ° relative to a surface of the first layer.

15. The method of claim 11, further comprising curing the second layer using a curing process prior to said applying the mask on the second layer.

FIELD

Embodiments of the present disclosure generally relate to a method, and more particularly, to a method of thin film deposition in a trench.

Description of the Related Art

In optics such as virtual reality or augmented reality devices, waveguide combiners are often used to couple virtual images, transmit light inside a glass substrate via total internal reflection, and then couple the images when reaching the position of a viewer's eye. For optical coupling and decoupling, slanted features and trenches in the waveguide combiner are typically used as gratings for light diffraction. The orientation of the lines (fins) controls the light propagation direction, while the tilt angle controls the efficiency of the desired diffraction order(s).

For optical devices, selective deposition in trenches has important industrial applications. It is necessary to precisely control the material properties (such as refractive index) of the deposited films in order to ensure proper operation of these devices. In addition, film growth without undesirable voids or holes is required to ensure normal diffraction, reflection or refraction of incident light on the optical structure. Therefore, uniform film growth along with selectivity is required to ensure that the correct portion of the structure receives a film with the desired material properties.

Conventional selective deposition processes typically include Chemical Mechanical Polishing (CMP) techniques to remove excess film growth and ensure that film growth occurs only in desired portions of the workpiece. However, CMP techniques cannot remove film growth in the trenches because CMP techniques are surface-level techniques. Furthermore, the harsh mechanical conditions during CMP can damage underlying structures on the workpiece.

Accordingly, there is a need for improved methods for selectively depositing layers on workpieces having trenches.

Background

SUMMARY

In one or more embodiments, a method for processing a workpiece is provided, including applying a mask on a first layer disposed on a substrate, wherein the mask covers a first portion of the first layer while leaving a second portion of the first layer exposed; depositing a second layer on a second portion of the first layer; removing the mask from a first portion of the first layer, wherein the first portion of the first layer is exposed and a second portion of the first layer contains a second layer deposited on the second portion of the first layer; and exposing the second layer to a curing process.

In other embodiments, a method for processing a workpiece is provided, including depositing a second layer comprising an uncured composition on a first layer disposed on a substrate; applying a mask over the second layer, wherein the mask covers a first portion of the second layer and leaves a second portion of the second layer exposed; exposing the mask and a second portion of the second layer to a curing process, wherein the mask shields the first portion of the second layer from the curing process while the second portion of the second layer is at least partially cured during the curing process, and wherein after the curing process, the first portion of the second layer comprises an uncured composition and the second portion of the second layer comprises a cured composition formed from the uncured composition; and removing the mask and a first portion of the second layer comprising the uncured composition.

In other embodiments, a method for processing a workpiece is provided, including depositing a second layer comprising an uncured composition on a first layer disposed on a substrate; applying a mask over the second layer, wherein the mask covers a first portion of the second layer and leaves a second portion of the second layer exposed; exposing the mask and a second portion of the second layer to an etching process, wherein the mask shields the first portion of the second layer from the etching process while the second portion of the second layer is at least partially etched during the etching process; and removing the mask.

The methods disclosed herein allow for selective deposition in trenches without requiring a CMP process. The selective deposition described herein allows deposition in some trenches while preventing deposition in other trenches.

22页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于保持预处理浴的系统和方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!