Process for producing isomer-rich higher silanes

文档序号:589681 发布日期:2021-05-25 浏览:993次 中文

阅读说明:本技术 用于生产富含异构体的高级硅烷的方法 (Process for producing isomer-rich higher silanes ) 是由 格里戈里·尼基福罗夫 根纳迪·伊多 于 2019-10-11 设计创作,主要内容包括:披露了选择性合成正四硅烷的方法。通过催化硅烷(SiH-4)、二硅烷(Si-2H-6)、三硅烷(Si-3H-8)、或其混合物制备正四硅烷。更特别地,所披露的合成方法微调和优化正四硅烷:异四硅烷异构体比率。该异构体比率可以通过选择方法参数,诸如温度和起始化合物的相对量,以及适当催化剂的选择来优化。所披露的合成方法允许容易制备正四硅烷。(Methods for the selective synthesis of n-tetrasilanes are disclosed. By catalysis of Silane (SiH) 4 ) Disilane (Si) 2 H 6 ) Trisilane (Si) 3 H 8 ) Or mixtures thereof to produce n-tetrasilane. More particularly, the disclosed synthetic methods fine and optimize the n-tetrasilane to iso-tetrasilane isomer ratio. The isomer ratio can be optimized by the choice of process parameters, such as temperature and relative amounts of starting compounds, and the choice of a suitable catalyst. The disclosed synthesis method allows for easy preparation of n-tetrasilane.)

1. A method for selectively synthesizing n-tetrasilane, the method comprising:

by reacting SinH(2n+2)Reacting a reactant, wherein n-1-3, with a heterogeneous catalyst to produce a catalyst having n-Si in the range of from about 5:1 to about 15:14H10:i-Si4H10A mixture of silanes in a ratio, the heterogeneous catalyst being selected from the group consisting of I, II or a group III element from the periodic Table of the elements or an oxide, an alkylate, a hydride, a silane, or a silylamide thereof.

2. The method of claim 1, wherein the Si isnH(2n+2)The reactant being Si3H8

3. The method of claim 2, wherein the Si isnH(2n+2)The reactant being liquid Si3H8

4. The method of claim 1, wherein the Si isnH(2n+2)The reactant being Si2H6And Si3H8A mixture of (a).

5. The method of claim 1, wherein the non-reactive compound isThe homogeneous catalyst is selected from the group consisting of: LiAlH4、LiAlHnR4-n、NaAlHnR4-n、KAlHnR4-n、RbAlHnR4-n、CsAlHnR4-nAnd combinations thereof, wherein n ═ 1,2, or 3, and each R is independently C where m ═ 1-10mH2m+1Or an aliphatic group having an oxygen or nitrogen atom.

6. The process of claim 5, wherein the heterogeneous catalyst is sodium bis (2-methoxyethoxy) aluminum hydride.

7. The method of claim 6, wherein n-Si4H10:i-Si4H10In the range of from about 8:1 to about 15: 1.

8. The process of claim 1, wherein the heterogeneous catalyst is a group I metal and a group I metal oxide.

9. The process of claim 1, wherein the heterogeneous catalyst is a metal silylamide catalyst.

10. The process of claim 9, wherein the metal silylamide catalyst is sodium bis (trimethylsilyl) amide.

11. The process of claim 9, wherein the metal silylamide catalyst is potassium bis (trimethylsilyl) amide.

12. The method of claim 11, wherein n-Si4H10:i-Si4H10In the range of from about 8:1 to about 15: 1.

13. The method of claim 1, wherein the heterogeneous catalyst is a metalosilicate catalyst.

14. The process of claim 13, wherein the metal silicide catalyst is KSiPh3

15. The method of claim 1, further comprising reacting from SiaH(2a+2)Separating the n-Si from the mixture4H10:i-Si4H10A mixture wherein a is 1-6.

16. The method of claim 1, further comprising fractionally distilling the n-Si4H10:i-Si4H10Silane mixture to produce a Si-containing film-forming composition comprising about 95% w/w to about 100% w/w n-Si4H10

17. A method for selectively synthesizing n-tetrasilane, the method comprising:

catalysis of liquid Si by use of a catalyst3H8To produce n-Si having a ratio in the range of from about 5:1 to about 12:14H10:i-Si4H10A silane mixture, the catalyst selected from the group consisting of: sodium, sodium oxide, sodium bis (2-methoxyethoxy) alaninate, potassium bis (trimethylsilyl) amide (KN (SiMe)3)2) Lithium aluminum hydride (LiAlH)4) Potassium triphenyl siloxane (KSiPh)3) Potassium hydride (KH), and mixtures thereof.

18. The method of claim 16, further comprising reacting from SiaH(2a+2)Separating the n-Si from the mixture4H10:i-Si4H10A mixture wherein a is 1-6.

19. The method of claim 17, further comprising fractionally distilling the n-Si4H10:i-Si4H10Silane mixture to produceA composition for forming a Si-containing film, the composition comprising about 95% w/w to about 100% w/w n-Si4H10

20. A composition for forming a Si-containing film comprising about 95% w/w to about 100% w/wn-Si4H10

21. The Si-containing film forming composition of claim 19 further comprising between about 0ppmw to about 100ppmw halide contaminants.

Technical Field

Methods for the selective synthesis of n-tetrasilanes are disclosed. By catalysis of Silane (SiH)4) Disilane (Si)2H6) Trisilane (Si)3H8) Or mixtures thereof to produce n-tetrasilane. More particularly, the disclosed synthetic methods fine and optimize the n-tetrasilane to iso-tetrasilane isomer ratio. The isomer ratio can be optimized by the choice of process parameters, such as temperature and relative amounts of starting compounds, and the choice of a suitable catalyst. The disclosed synthesis method allows for easy preparation of n-tetrasilane.

Background

Polysilanes have been used in a variety of industries.

Vapor deposition of silicon-containing films using polysilanes is described in particular by japanese patent No. 3,185,817 to Seiko Epson Corp; kanoh et al, Japanese Journal of Applied Physics [ Journal of Applied Physics ], Part 1: regulated Papers, Short Notes & Review Papers [ Part 1: general, short notes and review papers 1993,32(6A), 2613-; japanese patent No. 3,484,815 by Showa Denko KK; and Japanese patent application laid-open No. 2000/031066 of Showa Denko K.K.

Epitaxial Si-containing films, such as Si, SiGe, SiC, SiN, and SiO, have been grown using polysilanes, as disclosed, inter alia, in the following: hazbun et al, Journal of Crystal Growth 2016,444, 21-27; U.S. patent application publication No. 2017/018427 to Yi-Chiau Huang et al; U.S. patent application publication numbers 2016/126093 to Dube et al; and Hart et al, Thin Solid Films 2016,604, 23-27.

Polysilanes have also been used to form larger polymers. See, for example, U.S. patent application publication No. 2016/0297997 to Forschungzentrum Julich Gmbh.

The conversion of lower silanes to higher silanes has been extensively studied for both research and commercial purposes. Catalytic reactions have been studied. See, e.g., U.S. patent nos. 5,047,569 to Berris; corey et al Organometallics 1991,10, 924-930; boudjouk et al, j.chem.soc.chem.comm. [ chemical society declaration, chemical communication ] 1991245-; U.S. patent numbers 5,087,719 to Tilley et al; woo et al, J.Am.chem.Soc. [ journal of the American chemical society ]1992,114, 7047-; ohshita et al, Organometallics [ organometallic compounds ] 199413, 5002-5012; bourg et al, Organometallics, 1995,14, 564-566; bourg et al, Organometallics 1995,14, 564-566; U.S. patent numbers 5,700,400 to Ikai et al; woo et al, mol.cryst.liq.cryst.sci.technol., sect.a [ molecular crystal and liquid crystal science and technology, part a ],2000,349, 87; rosenberg et al, J.Am.chem.Soc.2001[ journal of the American chemical society ],123, 5120-; fontaine et al, Organometallics 2002,21, 401-408; kim et al Organometallics 2002,21, 2796; corey et al, adv. In org. chem. [ organometallic chemical evolution ]2004,51, pages 1-52; fontaine et al, j.am.chem.soc. [ journal of the american chemical society ]2004,126, 8786-; U.S. patent application No. 2008/085373 to karshteddt et al; itazaki et al, angelw.chem.int.ed. [ international edition of applied chemistry ]2009,48, 3313-; PCT publication No. WO 2010/003729 to Degussa (Evonik Degussa GMBH); smith et al, Organometallics 2010,29, 6527-6533; SPAWNT PRIVATE s.a.r.l. PCT publication No. WO 2012/001180; PCT publication No. WO 2013/019208 to Kovio, inc; feigl et al, chem.Eur.J. [ European journal of chemistry ]2013,19, 12526-12536; tanabe et al Organometallics 2013,32, 1037-1043; U.S. patent numbers 8,709,369 to Brausch et al; schmidt et al, Dalton Trans. [ Dalton journal ]2014,43, 10816-; and Matsushita et al, U.S. patent No. 9,567,228.

Despite all these disclosures, the commercial use of polysilanes remains elusive.

Disclosure of Invention

Methods for the selective synthesis of n-tetrasilanes are disclosed. Conversion of liquid Si in the presence of a heterogeneous catalystnH(2n+2)Reactants (wherein n-1-3) to produce n-Si having a ratio in the range of from about 5:1 to about 15:14H10:i-Si4H10And (3) mixing. Alternatively, the liquid Si may be converted by catalysisnH(2n+2)Reactants (wherein n-1-3) to produce n-Si having a ratio in the range of from about 5:1 to about 15:14H10:i-Si4H10And (3) mixing. In another alternative, the liquid Si is reactednH(2n+2)Reacting a reactant (wherein n ═ 1-3) with a heterogeneous catalyst to produce n-Si having a ratio in the range of from about 5:1 to about 15:14H10:i-Si4H10And (3) mixing. In yet another alternative, the liquid Si is reactednH(2n+2)Contacting the reactants (wherein n ═ 1-3) with a heterogeneous catalyst to produce n-Si having a ratio in the range of from about 5:1 to about 15:14H10:i-Si4H10And (3) mixing. Any of the disclosed methods may include one or more of the following:

the method does not use H2

·SinH(2n+2)The reactant is a liquid;

·SinH(2n+2)the reactant is a mixture of liquid and gas;

·SinH(2n+2)the reactant being Si3H8

·SinH(2n+2)The reactant being liquid Si3H8

·SinH(2n+2)The reactant being Si2H6And Si3H8A mixture of (a);

·SinH(2n+2)the reactant being Si2H6And Si3H8A liquid mixture;

·SinH(2n+2)the reactant being gaseous Si2H6And liquid Si3H8A mixture of (a);

the mixture being contained inBetween about 0.1% w/w to about 60% w/w Si3H8And between about 40% w/w and 99.9% w/w Si2H6

The mixture contains between about 0.1% w/w to about 25% w/w Si3H8And between about 75% w/w and 99.9% w/w Si2H6

The mixture contains between about 0.1% w/w to about 10% w/w Si3H8And between about 90% w/w and 99.9% w/w Si2H6

Conversion of about 20% w/w to about 60% w/w SinH(2n+2)A reactant;

heating Si before mixing with the catalystnH(2n+2)A reactant;

mixing SinH(2n+2)Mixing the reactants with a catalyst to form a reactant-catalyst mixture;

si for a period of time in the range from about 1 hour to about 24 hoursnH(2n+2)Mixing the reactants with a catalyst to form a reactant-catalyst mixture;

heating the reactant-catalyst mixture to a temperature in the range of from about 30 ℃ to about 55 ℃;

mixing the reactant-catalyst mixture at a temperature in the range of from about room temperature to about 53 ℃;

mixing the reactant-catalyst mixture at a temperature in the range of from about 15 ℃ to about 50 ℃;

mixing the reactant-catalyst mixture at a temperature in the range of from about 15 ℃ to about 30 ℃;

filtration of the reactant-catalyst mixture to obtain SiaH(2a+2)Separating any solids from the mixture (where n-1-6);

si before flowing through the reactor containing the catalystnH(2n+2)Heating the reactants;

si before flowing over the catalystnH(2n+2)ReactantsHeating;

let Si standnH(2n+2)Flowing the reactants through a reactor containing a catalyst;

let Si standnH(2n+2)The reactants flowed through a reactor containing a catalyst on glass wool;

let Si standnH(2n+2)Flowing the reactants through a reactor containing catalyst pellets;

let Si standnH(2n+2)The reactants flow through a reactor containing a catalyst to produce SiaH(2a+2)Mixtures wherein a is 1-6;

·SinH(2n+2)the residence time of the reactants in the reactor is in the range of from about 200 seconds to about 600 seconds;

heating the reactor to a temperature in the range of from about 15 ℃ to about 170 ℃;

heating the reactor to a temperature in the range of from about 15 ℃ to about 150 ℃;

heating the reactor to a temperature in the range of from about 15 ℃ to about 100 ℃;

heating the reactor to a temperature in the range of from about 15 ℃ to about 50 ℃;

heating the reactor to a temperature in the range of from about 20 ℃ to about 150 ℃;

heating the reactor to a temperature in the range of from about 50 ℃ to about 100 ℃;

heating the reactor to a temperature in the range of from about 40 ℃ to about 150 ℃;

maintaining the reactor at a pressure in the range of from about 10psig (69kPa) to about 50psig (345 kPa);

the method does not require a quencher;

unreacted SinH(2n+2)Recycling the reactants;

from SinH(2n+2)Separating n-Si from the mixture (wherein n is 1-6)4H10:i-Si4H10Mixing;

·n-Si4H10:i-Si4H10in the range of from about 7:1 to about 15: 1;

n-Si4H10:i-Si4H10Fractional distillation of the mixture to produce about 90% w/w to about 100% w/w n-Si4H10

n-Si4H10:i-Si4H10Fractional distillation of the mixture to produce about 95% w/w to about 100% w/w n-Si4H10

n-Si4H10:i-Si4H10Fractional distillation of the mixture to produce n-Si in the range of about 98% w/w to about 100% w/w4H10

Heterogeneous catalysts comprise an element selected from the group consisting of: i, II and group III of the periodic Table of the elements;

the heterogeneous catalyst comprises an element selected from group I of the periodic table;

the heterogeneous catalyst comprises Na;

the heterogeneous catalyst comprises K;

the heterogeneous catalyst comprises an element selected from group III of the periodic table;

the heterogeneous catalyst comprises Al;

the heterogeneous catalyst comprises both an element selected from group I and an element selected from group III of the periodic table of the elements;

heterogeneous catalysts comprise Na and Al;

heterogeneous catalysts comprise Li and Al;

the heterogeneous catalyst is selected from I, II or a group III element or an oxide, an alkylate, a hydride, a silane, or a silylamide thereof;

the heterogeneous catalyst is an oxide catalyst;

the heterogeneous catalyst is a group I metal oxide catalyst;

heterogeneous catalyst is Na2O;

The heterogeneous catalyst is K2O;

The heterogeneous catalyst is K, K2O, or a combination thereof;

heterogeneous catalyst is Na, Na2O, or a combination thereof;

the heterogeneous catalyst is a hydride catalyst;

a hydride catalyst selected from the group consisting of: NaH, KH, RbH, CsH, MgH2、CaH2、SrH2、BaH2、{AlH3}n、AlH3(L)、{GaH3}n、GaH3(L), and combinations thereof, wherein n is 1,2, or 3, and L is NR3Wherein each R is independently CmH2m+1And m is 1-10;

the hydride catalyst is NaH;

the hydride catalyst is KH;

the hydride catalyst is RbH;

the hydride catalyst is CsH;

the hydride catalyst being MgH2

The hydride catalyst being CaH2

The hydride catalyst being SrH2

The hydride catalyst being BaH2

The hydride catalyst is { AlH3}nWherein n is 1,2, or 3;

the hydride catalyst is { AlH3};

The hydride catalyst is { AlH3}2

The hydride catalyst is { AlH3}3

The hydride catalyst being AlH3(L) wherein L is NR3Wherein each R is independently CmH2m+1And m is 1-10;

the hydride catalyst being AlH3(L), wherein L is substituted ethylene diamine;

the hydride catalyst being AlH3(L), wherein L is N, N' -tetramethyl-ethylenediamine;

the hydride catalyst being AlH3(L), wherein L is N, N' -tetramethyl-propanediamine;

the hydride catalyst is { GaH3}nWherein n is 1,2, or 3;

the hydride catalyst is { GaH3};

The hydride catalyst is { GaH3}2

The hydride catalyst is { GaH3}3

The hydride catalyst being GaH3(L) wherein L is NR3Wherein each R is independently CmH2m+1And m is 1-10;

the hydride catalyst being GaH3(L), wherein L is substituted ethylene diamine;

the hydride catalyst being GaH3(L), wherein L is N, N' -tetramethyl-ethylenediamine;

the hydride catalyst being GaH3(L), wherein L is N, N' -tetramethyl-propanediamine;

hydride catalysts comprise an element from group I, II or III of the periodic Table of the elements;

the hydride catalyst is selected from the group consisting of: LiAlH4、LiAlHnR4-n、NaAlHnR4-n、KAlHnR4-n、RbAlHnR4-n、CsAlHnR4-nAnd combinations thereof, wherein n ═ 1,2, or 3 and each R is independently CmH2m+1(wherein m is 1 to 10) or an aliphatic group having an oxygen or nitrogen atom;

the hydride catalyst being LiAlH4

The hydride catalyst being LiAlHnR4-nWherein n is 1,2, or 3, and each R is independently CmH2m+1(wherein m is 1 to 10) or an aliphatic group having an oxygen or nitrogen atom;

the hydride catalyst is selected from the group consisting of: NaAlHnR4-nWherein n is 1,2, or 3And each R is independently CmH2m+1(wherein m is 1 to 10) or an aliphatic group having an oxygen or nitrogen atom;

the hydride catalyst is selected from the group consisting of: KAlHnR4-nWherein n is 1,2, or 3, and each R is independently CmH2m+1(wherein m is 1 to 10) or an aliphatic group having an oxygen or nitrogen atom;

the hydride catalyst is selected from the group consisting of: RbAlHnR4-nWherein n is 1,2, or 3, and each R is independently CmH2m+1(wherein m is 1 to 10) or an aliphatic group having an oxygen or nitrogen atom;

the hydride catalyst is selected from the group consisting of: CsAlHnR4-nWherein n is 1,2, or 3, and each R is independently CmH2m+1(wherein m is 1 to 10) or an aliphatic group having an oxygen or nitrogen atom;

an aliphatic group having an oxygen or nitrogen atom selected from the group consisting of: -CH2OMe、-CH2CH2OMe、-OCH2CH2CH2OMe、-CH2CH2NMe2Aromatic groups, and combinations thereof;

the heterogeneous catalyst is sodium bis (2-methoxyethoxy) alaninate [ Na (-O (Me) -C)2H4-O-)2AlH2];

The aromatic group is phenyl or substituted phenyl;

the heterogeneous catalyst is a silylamide catalyst;

the silylamide catalyst has the formula M [ N (SiR)3)2]xWherein when M is Li, Na, or K, x ═ 1; when M ═ Mg, Ca, Sr, or Ba, x ═ 2; when M ═ Al or Ga, x ═ 3; and each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silylamide catalyst has the formula Li [ N (SiR)3)2]Wherein each R is independently CmH2m+1(wherein m is 1-10)) Or an aromatic group;

the silylamide catalyst has the formula Na [ N (SiR)3)2]Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silylamide catalyst has the formula Na [ N (SiR)3)2]Wherein each R is independently CmH2m+1Wherein m is 1-4;

the silylamide catalyst is sodium bis (trimethylsilyl) amide;

the silylamide catalyst has the formula K [ N (SiR)3)2]Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silylamide catalyst has the formula K [ N (SiR)3)2]Wherein each R is independently CmH2m+1Wherein m is 1-4;

the silylamide catalyst is potassium bis (trimethylsilyl) amide;

the silylamide catalyst has the formula Mg [ N (SiR)3)2]2Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silylamide catalyst has the formula Ca [ N (SiR)3)2]2Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silylamide catalyst has the formula Sr [ N (SiR)3)2]2Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silylamide catalyst has the formula Ba [ N (SiR)3)2]2Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silylamide catalyst has the formula Al [ N (SiR)3)2]3Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silylamide catalyst has the formula Ga [ N (SiR)3)2]3Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the heterogeneous catalyst is a silanide (silade) catalyst;

the silane catalyst has the formula Na [ Al (SiH)3)(Si2H5)(OCH2CH2OMe)2];

The silane catalyst has the formula M (Si)nH2n-1)xOr M (SiR)3)xWherein n is 1-4, and when M is Li, Na, K, Rb, or Cs, x is 1; when M ═ Mg, Ca, Sr, or Ba, x ═ 2; when M ═ Al or Ga, x ═ 3; and each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula M (Si)nH2n-1)xWherein n is 1-4, and when M is Li, Na, K, Rb, or Cs, x is 1; when M ═ Mg, Ca, Sr, or Ba, x ═ 2; and when M ═ Al or Ga, x ═ 3;

the silane catalyst having the formula LiSiH3

The silane catalyst has the formula NaSiH3

The silane catalyst has the formula KSiH3

The silane catalyst having the formula RbSiH3

The silane catalyst has the formula CsSiH3

The metalosilane catalyst has the formula LiSiPh3

The metalsilanization catalyst has the formula NaSiPh3

The metalosilane catalyst has the formula KSiPh3

The metalosilane catalyst has the formula RbSiPh3

The metalosilane catalyst has the formula CsSiPh3

The silane catalyst has the formula LiSi2H5

The silane catalyst has the formula NaSi2H5

The silane catalyst has the formula KSi2H5

The silane catalyst has the formula RbSi2H5

The silane catalyst has the formula CsSi2H5

The silane catalyst having the formula Mg (SiH)3)2,

The silane catalyst has the formula Ca (SiH)3)2,

The silane catalyst has the formula Sr (SiH)3)2,

The silane catalyst has the formula Ba (SiH)3)2,

The silane catalyst has the formula Al (SiH)3)3

The silane catalyst having the formula Ga (SiH)3)3

The silane catalyst has the formula M (SiR)3)xWherein when M is Li, Na, K, Rb, or Cs, x ═ 1; when M ═ Mg, Ca, Sr, or Ba, x ═ 2; when M ═ Al or Ga, x ═ 3; and each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Li (SiR)3) Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Na (SiR)3) Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula K (SiR)3) Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Rb (SiR)3) Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Cs (SiR)3) Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Mg (SiR)3)2Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Ca (SiR)3)2Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Sr (SiR)3)2Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Ba (SiR)3)2Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Al (SiR)3)3Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

the silane catalyst has the formula Ga (SiR)3)3Wherein each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group;

heterogeneous catalyst on a support;

the catalyst is physically bound to the support;

the catalyst is chemically bound to the support;

the catalyst is both physically and chemically bound to the support;

the support being alumina (Al)2O3) Silicon dioxide (SiO)2) Or a combination thereof;

the support being alumina (Al)2O3);

The support being silicon dioxide (SiO)2);

Heterogeneous catalysts are in pellet form;

the heterogeneous catalyst comprises from about 0.1% w/w to about 70% w/w of the combination of heterogeneous catalyst and support;

the heterogeneous catalyst comprises from about 1% w/w to about 50% w/w of the combination of the heterogeneous catalyst and the support; and/or

The heterogeneous catalyst comprises from about 1% w/w to about 5% w/w of the combination of heterogeneous catalyst and support.

Also disclosed are compositions for forming Si-containing films produced by any of the methods disclosed above. The disclosed compositions may further include one or more of the following:

the Si-containing film-forming composition comprises from about 0ppmw to about 100ppmw halide contaminants;

the Si-containing film-forming composition comprises from about 0ppmw to about 25ppmw of halide contaminants;

the Si-containing film-forming composition comprises from about 0ppmw to about 5ppmw of halide contaminants;

the Si-containing film-forming composition comprises about 90% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition comprises about 95% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition contains about 97% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition contains from about 0% w/w to about 10% w/w of i-Si4H10

The Si-containing film-forming composition comprises from about 0% w/w to about 5% w/w of i-Si4H10(ii) a And/or

The Si-containing film-forming composition contains from about 0% w/w to about 3% w/w of i-Si4H10

Methods of maintaining the vapor pressure of tetrasilane during a vapor deposition process are also disclosed. The vapor deposition process uses any of the above-disclosed compositions for forming Si-containing films. The composition for forming the Si-containing film is maintained at the evaporation temperature. The disclosed methods may further include one or more of the following:

the Si-containing film-forming composition comprises about 90% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition comprises about 95% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition contains about 97% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition contains from about 0% w/w to about 10% w/w of i-Si4H10(ii) a Or

The Si-containing film-forming composition comprises from about 0% w/w to about 5% w/w of i-Si4H10

The Si-containing film-forming composition contains from about 0% w/w to about 3% w/w of i-Si4H10

The Si-containing film-forming composition has an initial vapor pressure at the evaporation temperature;

the evaporation temperature is in the range from about 0 ℃ to about 50 ℃;

an evaporation temperature in the range from about 20 ℃ to about 25 ℃;

maintaining about 80% of the initial vapor pressure of the Si-containing film-forming composition at the vaporization temperature until about 95% w/w of the Si-containing film-forming composition is consumed; and/or

Maintaining about 90% of the initial vapor pressure of the Si-containing film-forming composition at the vaporization temperature until about 95% w/w of the Si-containing film-forming composition is consumed;

maintaining about 95% of the initial vapor pressure of the Si-containing film-forming composition at the vaporization temperature until about 95% w/w of the Si-containing film-forming composition is consumed.

Methods of reducing the formation of branched polysilanes during polymerization are also disclosed. The polymerization process uses any of the above-disclosed compositions for forming Si-containing films. The disclosed methods may further include one or more of the following:

composition pack for forming Si-containing filmContaining about 90% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition comprises about 95% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition contains about 97% w/w to about 100% w/w of n-Si4H10

The Si-containing film-forming composition contains from about 0% w/w to about 10% w/w of i-Si4H10

The Si-containing film-forming composition comprises from about 0% w/w to about 5% w/w of i-Si4H10(ii) a And/or

The Si-containing film-forming composition contains from about 0% w/w to about 3% w/w of i-Si4H10

Annotation and naming

Certain abbreviations, symbols, and terms are used throughout the following description and claims, and include:

the indefinite article "a" or "an" as used herein means one or more than one.

As used herein, the term "about" or "about" means ± 10% of the stated value.

As used herein, the term "comprising" is inclusive or open-ended and does not exclude additional unrecited materials or method steps; the term "consisting essentially of … …" limits the scope of the claims to a particular material or step and additional materials or steps that do not materially affect the basic and novel characteristics of the claimed invention; and the term "consisting of … …" excludes any additional material or method step not defined in the claims.

As used herein, the term "higher silane" means SiaH2a+2Wherein a is 4 to 100, and the term "lower silane" means SinH2n+2Wherein n is 1-3. The higher silanes may be linear or branched.

As used herein, the term "catalyst" means a substance that increases the rate of reaction without changing the overall standard gibbs energy change of the reaction. As used herein, the term "catalyst" includes substances that do not undergo any permanent chemical change as well as substances that undergo any permanent chemical change (the latter sometimes referred to as "precatalysts").

As used herein, the term "heterogeneous catalyst" means a catalyst that is present in a different phase from the reactants (e.g., a solid catalyst with a liquid reactant; or a liquid catalyst that is immiscible with a liquid reactant). Heterogeneous catalysts may be on or blended with a support that is inert in nature or less active than the catalyst.

As used herein, the term "quencher" means a substance that inactivates a reaction.

As used herein, the term "residence time" means the amount of time the lower silane reactant takes in the flow-through reactor.

As used herein, the abbreviation "RT" means room temperature, which is a temperature in the range of from about 18 ℃ to about 25 ℃.

As used herein, the term "hydrocarbyl" refers to a functional group containing carbon and hydrogen; the term "alkyl" refers to a saturated functional group containing only carbon and hydrogen atoms. The hydrocarbyl group may be saturated or unsaturated. Any term refers to straight chain, branched chain or cyclic groups. Examples of straight chain alkyl groups include, but are not limited to, methyl, ethyl, propyl, butyl, and the like. Examples of branched alkyl groups include, but are not limited to, t-butyl. Examples of cyclic alkyl groups include, but are not limited to, cyclopropyl, cyclopentyl, cyclohexyl, and the like.

As used herein, the abbreviation "Me" refers to methyl; the abbreviation "Et" means ethyl; the abbreviation "Pr" means propyl; the abbreviation "nPr" means "n" or linear propyl; the abbreviation "iPr" refers to isopropyl; the abbreviation "Bu" means butyl; the abbreviation "nBu" means "n" or straight-chain butyl; the abbreviation "tBu" refers to tert-butyl, also known as 1, 1-dimethylethyl; the abbreviation "sBu" refers to sec-butyl, also known as 1-methylpropyl; the abbreviation "iBu" refers to isobutyl, also known as 2-methylpropyl; the term "halo" refers to the halide anions F-, Cl-, Br-, and I-; and the abbreviation "TMS" refers to trimethylsilyl or — SiMe 3.

As used herein, the term "aromatic group" refers to a cyclic planar molecule with a resonance bond ring that exhibits greater stability compared to other geometric or linking arrangements with the same subset of atoms. Exemplary aromatic groups include substituted or unsubstituted phenyl (i.e., C)6R5Wherein each R is independently H or a hydrocarbyl group).

As used herein, the term "independently" when used in the context of describing R groups should be understood to mean that the subject R group is independently selected not only with respect to other R groups bearing the same or different subscripts or superscripts, but also with respect to any additional species of the same R group. For example, in the formula MR1 x(NR2R3)(4-x)Wherein x is 2 or 3, two or three R1The radicals may, but need not, be identical to one another or to R2Or R3The same is true. Further, it is to be understood that the values of the R groups when used in the different formulae are independent of each other unless specifically stated otherwise.

Standard abbreviations for elements from the periodic table of elements are used herein. It is to be understood that these abbreviations may refer to elements (e.g., Si refers to silicon, C refers to carbon, H refers to hydrogen, etc.).

As used herein, the periodic table of elements refers to a tabular arrangement of chemical elements; group I of the periodic Table refers to H, Li, Na, K, Rb, Cs, and Fr. Group II of the periodic Table refers to Be, Mg, Ca, Sr, Ba, and Ra. Group III of the periodic Table refers to B, Al, Ga, In, Tl, and Nh.

Any and all ranges recited herein include the endpoints thereof (i.e., x-1 to 4, or x is in the range of from 1 to 4, including x-1, x-4, and x any number in between), regardless of whether the term "inclusively" is used.

Drawings

For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings, in which reference numerals are uniformly employed throughout, and wherein:

FIG. 1 is a schematic of a batch plant in which the disclosed synthesis process can be carried out;

FIG. 2 is a schematic diagram of a flow-through apparatus in which the disclosed synthesis method can be performed;

FIG. 3 is a schematic view of one embodiment of the flow-through apparatus of FIG. 2;

FIG. 4 is a schematic diagram of an embodiment of the reactor of FIG. 3;

FIG. 5 is a graph showing the reaction in liquid Si3H8Vitride on silica catalyst in one passTMGas chromatograms of volatile liquid products from the dry ice trap (example 4);

FIG. 6 is a graph showing the reaction in liquid Si3H8One-pass KN (SiMe)3)2Gas chromatogram of the volatile liquid product distilled off from the dry ice trap after the catalyst (example 8);

FIG. 7 is n-Si at ambient temperature4H10:i-Si4H10A plot of ratio versus time; and is

FIG. 8 is n-Si in a 1.2L stainless steel bubbler at room temperature (triangles) or 35 deg.C (squares)4H10:i-Si4H10Graph of ratio versus time.

Detailed Description

Methods for the selective synthesis of n-tetrasilanes are disclosed. Isomers of tetrasilanes exhibit differences in boiling points. From Gelest's online catalog, 80% -90% n-Si4H10The boiling point of (A) is 107 ℃. In contrast, i-Si4H10The boiling point of (B) is 101.7 ℃. Feh er et al, Inorg.Nucl.chem.Lett. [ Rapid report of inorganic and nuclear chemistry],1973,9,931。

In addition to having different vapor boiling points, these isomers may also have different evaporation behavior and thermal stability, at least due to the different spatial geometries shown above. These differences can create drift in any vapor process if one isomer becomes enriched over time. This effect has been demonstrated with other types of isomers (see, e.g., Mehwash Zia and Muhammad Zia-ul-Haq, Journal of cyberporary Research in Chemistry [ 2016 (1) 1: 34-41).

As a result, it is important to provide tetrasilane precursors consisting essentially of one isomer (enriched in one isomer) or having a fixed isomer ratio for reproducible film growth rates/cycles during vapor deposition.

Similarly, polymerization using different isomers can produce different polymerization products. In other words, the iso-tetrasilane may produce a polymer that is more branched than the branching produced by the normal tetrasilane.

Applicants have discovered a method to fine tune and optimize the ratio of tetrasilane isomers. By heterogeneously catalyzed Silanes (SiH)4) Disilane (Si)2H6) Trisilane (Si)3H8) Or mixtures thereof to prepare pure isomers or isomer-enriched mixtures. Lower silane reactant (i.e., Si)nH2n+2Where n ═ 1-3) provides attractive starting materials due to commercial availability. Various process parameters can be adjusted to produce the desired isomer ratio. Exemplary process parameters include the relative amounts of starting compounds and the selection of catalysts. The temperature and reaction time of a batch process or residence time in a flow-through process may also affect the isomer yield. The resulting higher silane product is isomer content specific and high purity. One of ordinary skill in the art will recognize that safety regulations are required to work with these reactants and products.

By reacting SinH(2n+2)The reactant (where n ═ 1-3) is reacted with a heterogeneous catalyst to synthesize tetrasilane. SinH(2n+2)The reactant may be SiH4、Si2H6、Si3H8Or a combination thereof. These reactants are commercially available. These reactants can be used in the disclosed process in gaseous or liquid form or as a combination for mixtures. For example, the reactant may be gaseous Si2H6And liquid Si3H8

In the following examples, SinH(2n+2)The reactant being gaseous or liquid Si3H8Or liquid Si2H6And liquid Si3H8A mixture of (a). Examples demonstrate the interaction with gaseous Si3H8Compared with the use of liquid Si3H8Use of (2) results in better n-Si4H10/i-Si4H10And (4) selectivity. Example 4 demonstrates that Si2H6/Si3H8The reaction mixture reduces the amount of heavier polysilanes (Si. gtoreq.6). As a result, it is possible to select an appropriate SiaH(2a+2)The reactants are used to optimize the synthesis of the desired polysilane. Some optional reactant combinations that will reduce the amount of heavier polysilanes include between about 0.1% w/w to about 60% w/w Si3H8And between about 40% w/w and 99.9% w/w Si2H6(ii) a Between about 0.1% w/w to about 25% w/w Si3H8And between about 75% w/w and 99.9% w/w Si2H6(ii) a Or between about 0.1% w/w to about 10% w/w Si3H8And between about 90% w/w and 99.9% w/w Si2H6

The heterogeneous catalyst is selected from I, II or group III elements from the periodic Table of the elements, preferably from I and/or III, and more preferably K and/or Al or oxides, alkyls, hydrides, silanides, or silylamides thereof, suitable for producing n-Si having a ratio in the range of from about 5:1 to about 15:14H10:i-Si4H10And (3) mixing. The disclosed catalytic reaction does not require the use of a quenching agent, as the heterogeneous catalyst can be separated from the reactants and products using filtration in a batch process. Alternatively, the flow-through reactor can be designed with a suitable residence time to allow for SiaH(2a+2)Catalytic conversion of the reactants.

The heterogeneous catalyst may be I, II or a group III element. Exemplary catalysts include K or Na. These catalysts are commercially available, orMay be synthesized by methods known in the art. For example, Signa Chemistry, Inc. under the trademark ActivegelTM30% -40% Na/silica was sold.

The heterogeneous catalyst may be a metal oxide catalyst. Exemplary oxide catalysts include Na2O or K2And O. These catalysts are commercially available or can be synthesized by methods known in the art.

The heterogeneous catalyst may be a metal alkyl catalyst MR, wherein R ═ C1 to C4 hydrocarbons. Exemplary metal alkyl catalysts include BuLi. These catalysts are commercially available or can be synthesized by methods known in the art.

The heterogeneous catalyst may be a metal hydride catalyst. Exemplary metal hydrides include NaH, KH, RbH, CsH, MgH2、CaH2、SrH2、BaH2、{AlH3}、{AlH3}2、{AlH3}3、AlH3(L)、{GaH3}、{GaH3}2、{GaH3}3、GaH3(L), and combinations thereof, wherein n is 1,2, or 3, and L is NR3Wherein each R is independently CmH2m+1And m is 1 to 10. For example, L may be substituted ethylene diamine. Exemplary ethylenediamine-containing metal hydride catalysts include AlH3(N, N, N ', N' -tetramethyl-ethylenediamine), AlH3(N, N, N ', N' -tetramethyl-propanediamine), GaH3(N, N, N ', N' -tetramethyl-ethylenediamine), and/or GaH3(N, N, N ', N' -tetramethyl-propanediamine). These catalysts are commercially available or can be synthesized by methods known in the art.

The metal hydride catalyst can be a mixed metal hydride catalyst. Exemplary mixed metal hydride catalysts include LiAlH4、LiAlHnR4-n、NaAlHnR4-n、KAlHnR4-n、RbAlHnR4-n、CsAlHnR4-nAnd combinations thereof, wherein n ═ 1,2, or 3, and each R is independently CmH2m+1(wherein m is 1-10)) An aliphatic group having an oxygen or nitrogen atom, or an aromatic group. Exemplary aliphatic groups having an oxygen or nitrogen atom include-CH2OMe、-CH2CH2OMe、-OCH2CH2CH2OMe、-CH2CH2NMe2Aromatic groups, and combinations thereof. Exemplary aromatic groups include phenyl and substituted phenyl. These catalysts are commercially available or can be synthesized by methods known in the art. A particularly preferred mixed metal halide catalyst is sodium bis (2-methoxyethoxy) alaninate [ Na (-O (Me) -C)2H4-O-)2AlH2]。

The heterogeneous catalyst may be a metal silylamide catalyst. The metal silylamide catalyst can have the formula M [ N (SiR)3)2]xWherein when M is Li, Na, or K, x ═ 1; when M ═ Mg, Ca, Sr, or Ba, x ═ 2; when M ═ Al or Ga, x ═ 3; and each R is independently CmH2m+1(wherein m is 1 to 10) or an aromatic group, preferably m is 1 to 4. R may be Me, Et, nPr, iPr, nBu, iBu, tBu, Ph, MePh, or other substituted phenyl. These catalysts are commercially available or can be synthesized by methods known in the art. Particularly preferred metal silylamide catalysts include sodium bis (trimethylsilyl) amide [ NaN (SiMe)3)2]And potassium bis (trimethylsilyl) amide [ KN (SiMe)3)2]. As shown in the following examples, KN (SiMe) was used3)2Catalytic liquid Si3H8High n-Si production at both room temperature and 41 deg.C4H10:i-Si4H10And (4) selectivity. Applicants believe that NaN (SiMe)3)2Similar results will be produced.

The heterogeneous catalyst may be a metal silane compound catalyst. The metal silicide catalyst may have the formula Na [ Al (SiH)3)(Si2H5)(OCH2CH2OMe)2]、M(SinH2n-1)xOr M (SiR)3)xWherein n is 1-4, and when M is Li, Na, K, Rb, or Cs, x is 1(ii) a When M ═ Mg, Ca, Sr, or Ba, x ═ 2; when M ═ Al or Ga, x ═ 3; and each R is independently CmH2m+1(wherein m is 1-10) or an aromatic group. R may be Me, Et, nPr, iPr, nBu, iBu, tBu, Ph, MePh, or other substituted phenyl. Currently, these precursors are not commercially available and are difficult to synthesize because they are pyrophoric solids. However, based on GC and FT-IR data, applicants believe that the metal silylamide catalyst, the metal alkyl catalyst, or the catalyst is available under the trademark Vitride from Vanderlus Holdings LLCTMOr by Sigma Aldrich technology, Inc. (Sigma-Aldrich Biotechnology LP) under the trademarkSold Na [ AlH ]2(OCH2CH2OMe)2]Can act as a precatalyst and convert to a metalosilicate catalyst. More particularly, the applicant believes that the following reactions may occur:

Si3H8+KN(SiMe3)2→KSi2H5+H3SiN(SiMe3)2

Si3H8+LiBu→LiSi2H5+H3SiBu; or

2Si3H8+Na[AlH2(OCH2CH2OMe)2]=Si2H6+SiH4+

Na[Al(SiH3)(Si2H5)(OCH2CH2OMe)2]

As a result, the solid KSi2H5、LiSi2H5Or a [ Al (SiH)3)(Si2H5)(OCH2CH2OMe)2]Catalyst to remove SinH2n+2Catalytic conversion of reactants to SiaH(2a+2)A mixture wherein a-1-6, the mixture containing n-Si having a ratio in the range of from about 5:1 to about 15:14H10:i-Si4H10Mixture of。

The heterogeneous catalyst may be a mixture of two or more catalysts. Exemplary catalyst mixtures include K and K2O or Na and Na2And O. These catalysts are commercially available or can be synthesized by methods known in the art. For example, Signa Chemistry, Inc. under the trademark ActivelsomTMSelling 12% -15% Na2O/0-3%Na/85%-90%Al2O3

Any of the heterogeneous catalysts disclosed above may be located on a support. Exemplary supports include alumina (Al)2O3) Silicon dioxide (SiO)2) Or a combination thereof. One of ordinary skill in the art will recognize that the catalyst may be physically and/or chemically bound to the support. For example, the catalyst may chemically react with-OH groups on a silica or alumina support. Alternatively, the catalyst and support may simply be physically mixed together without chemical reaction. In another alternative, physical mixing of the catalyst and support may result in both physical and chemical bonding. The heterogeneous catalyst may comprise between about 0.1% w/w to about 70% w/w of the total heterogeneous catalyst and support combination. Alternatively, the heterogeneous catalyst may comprise from about 1% w/w to about 50% w/w of the total heterogeneous catalyst and support combination.

In another alternative, the heterogeneous catalyst may be commercially supplied in pellet form.

As shown in the examples below, the claimed heterogeneous catalysts allow for greater polymerization process control than prior art transition metal catalysts of group IV (Ti, Zr, Hf), group VIII (Ru), group IX (Co, Rh, Ir), and group X (Ni, Pd, Pt) and lanthanide (Nd). In addition, unlike prior art homogeneous catalysts, the claimed heterogeneous catalysts can also be easily separated from the reaction mixture. As a result, the reaction is more easily scalable to commercial scale, and the reaction product is more easily purified to levels acceptable for semiconductors (i.e., very pure).

When the lower silane reactant contacts the heterogeneous catalyst, the lower silane reaction occursSubstance (i.e., Si)nH2n+2And n-1-3). The reaction may take place in a batch reactor or in a flow-through reactor. The lower silane reactant and the catalyst may be mixed in a batch reactor to form a mixture. Depending on the reactants and catalyst, the mixture may be mixed for any period of time ranging from about 1 hour to about 24 hours.

Batch reactions may be conducted at temperatures in the range of from about room temperature to about 53 ℃. Alternatively, the reaction may be carried out at a temperature in the range of from about 15 ℃ to about 50 ℃. In another alternative, the reaction may be carried out at a temperature in the range of from about 15 ℃ to about 30 ℃. One of ordinary skill in the art will recognize that the reaction temperature will depend on the catalyst selected and the desired SinH(2n+2)The product varied. As shown in Table 1 of example 1, higher temperatures tend to produce heavier polysilanes (Si. gtoreq.6). Can mix SinH(2n+2)The product is filtered to remove solids, such as catalyst and/or any solid SinH(2n+2)And (3) obtaining the product.

In a flow reactor, SiaH(2a+2)The reactants may be passed through a reactor containing catalyst pellets or a catalyst supported on glass wool. SiaH(2a+2)The residence time of the reactants in the reactor may range from about 200 seconds to about 600 seconds. The pressure in the reactor may range from about 10psig (69kPa) to about 50psig (345 kPa).

The flow reaction may be carried out at a temperature in the range of from about 15 ℃ to about 170 ℃. Alternatively, the reaction may be carried out at a temperature in the range of from about 15 ℃ to about 150 ℃. In another alternative, the reaction may be carried out at a temperature in the range of from about 15 ℃ to about 100 ℃. In another alternative, the reaction may be carried out at a temperature in the range of from about 15 ℃ to about 50 ℃. In another alternative, the reaction may be carried out at a temperature in the range of from about 20 ℃ to about 150 ℃. In another alternative, the reaction may be at from about 50 deg.CTo a temperature in the range of about 100 ℃. One of ordinary skill in the art will recognize that the reaction temperature will depend on the catalyst selected and the desired SinH(2n+2)The product varied. As shown in Table 1 of example 1, higher temperatures tend to produce heavier polysilanes (Si. gtoreq.6).

Catalyst for converting lower silane reactant into SiaH(2a+2)A mixture wherein a is 1-6. From SiaH(2a+2)Separation of n-Si from mixtures4H10:i-Si4H10And (3) mixing. n-Si4H10:i-Si4H10The mixture has a ratio in the range of from about 5:1 to about 15: 1. Fractional distillation of n-Si4H10:i-Si4H10Mixing to produce a Si-containing film-forming composition comprising about 95% w/w to about 100% w/w n-Si4H10Preferably from about 98% w/w to about 100% w/w of n-Si4H10

One of ordinary skill in the art will recognize that the reaction rate and product yield will vary depending on whether the lower silane reactant is substituted. Reaction products (i.e., Si) produced from the claimed unsubstituted lower silanesnH(2n+2)Where n ═ 1-3) will be different from the reaction product resulting from substituted silanes containing one or more organic groups (i.e., Si)nRxH(2n+2-x)Wherein R is an organic group and X is 1 or greater). See comparative examples 1 and 2 which demonstrate that Ru/C and Rh/C, respectively, are not active for the conversion of unsubstituted liquid or gaseous trisilanes, even though us patent No. 5,700,400 of new japan petroleum company (Nippon Oil Co, Ltd.) discloses the use of Ru and Rh catalysts.

The catalytic reaction may be in the presence or absence of a non-reactive gas (such as H)2、N2Ar or He). Non-reactive gases may be used to maintain an inert atmosphere. Non-reactive gases may also be used to dilute the reaction mixture. Non-reactive gases may also be used to help maintain the flow rate of the reaction mixture within a desired range, for exampleFrom about 0.1 to about 1,000mL/min, alternatively from about 1 to about 10 mL/min. Of course, the addition of these non-reactive gases further requires their removal from the reaction product. Thus, in another alternative and as demonstrated in the examples below, the catalytic reaction may be conducted at the vapor pressure of the reactants.

FIG. 1 is a diagram for the catalytic conversion of a lower silane reactant to n-Si having a ratio in the range of from about 5:1 to about 15:14H10:i-Si4H10Diagram of an exemplary batch process system for a mixture. In fig. 1, trisilane 10 and optionally disilane 11 are used as the lower silane reactants. May be under an inert atmosphere (such as N)2Or a noble gas (i.e., He, Ne, Ar, Kr, Xe), or a combination thereof). Any and all air must be removed from various parts of the system (e.g., reactor 20, distillation unit 40, distillation unit 50, etc.) by applying a vacuum and/or an inert gas circulation. Inert gas may also be used to pressurize the trisilane 10 and optional disilane 11 to aid in the delivery of the reactants into the reactor 20. Liquid nitrogen, frozen ethanol, acetone/dry ice mixtures, or heat transfer agents such as monoethylene glycol (MEG) or SYLTHERM (r) available under the trademark SYLTHERM from Dow Corning CorpTMSold heat transfer fluid) may be used to cool various portions of the system (e.g., distillation apparatus 40, distillation apparatus 50).

Mixing Si3H8Reactant 10 and optional Si2H6Reactant 11 is added to reactor 20 via lines 12 and 13, respectively. Reactor 20 contains a catalyst (not shown). The reactor 20 also includes a stirring mechanism (not shown), such as a paddle mixer or homogenizer. The reactor 20 may also be equipped with multiple "injection ports", pressure gauges, diaphragm valves (not shown).

Reactor 20 and any and all parts that come into contact with the trisilane 10 and optional disilane 11 reactants and any products and byproducts ("contact parts") must be clean and free of air and moisture to prevent accidental reactions and/or contamination of the tetrasilane product 45. Reactor 20 and other contacting components must be free of any impurities that may react with or contaminate the silane. The reactor 20 and other contacting components must also be compatible with the trisilane 10 and optional disilane 11 reactants and products and byproducts.

The exemplary reactor 20 comprises a stainless steel tank having a low surface roughness and a mirror finish. The low surface roughness and mirror finish may be obtained by mechanical polishing and/or by electropolishing. High purity can be obtained by treatments including, but not limited to, (a) the use of dilute acids (HF, HNO)3) Or alkaline (KOH, NaOH) cleaning steps; then (b) rinsing with high purity deionized water to ensure complete removal of trace amounts of acid or base; then (c) drying the reactor 20. Completion of the deionized water (DIW) rinse (step b) may be indicated when the conductivity of the rinse water reaches 100. mu.S/cm and preferably is below 25. mu.S/cm.

The drying step may include the use of an inert gas (such as He, N)2Ar (preferably N)2Or Ar)) purging; reducing the pressure in the reactor 20 or other contacting components to accelerate the venting from the surface; the reactor 20 or other contacting components, or any combination thereof, are heated. The drying step may include an alternating sequence of purges during which a flow of inert gas is flowed through the vessel, and a vacuum step. Alternatively, the drying step may be performed by continuously flowing a purge gas while maintaining a low pressure in the reactor 20 or other contacting components. Drying efficiency and endpoint can be determined by measuring trace amounts of H in the gas emerging from reactor 20 or other contacting components2O levels were evaluated. Less than 10ppb H in the inlet gas2In the case of O, the outlet gas should have a moisture content in the range of from about 0ppm to about 10ppm, preferably in the range of from about 0ppm to about 1ppm, and more preferably in the range of from about 0ppb to about 200 ppb. Heating the reactor 20 or other contacting components during the purging step and the vacuum step is known to accelerate drying times. During drying, reactor 20 is typically maintained at a temperature in the range of from about 40 ℃ to about 150 ℃.

Once cleaned and dried, reactor 20 must have less than 1x 10-6std cm3S, preferably<1x 10-8std cm3Total leak rate in/s.

Any gases used to prepare the system for catalysis or for use during the catalytic process must be semiconductor grade (i.e., free of contaminants such as trace amounts of moisture and oxygen (<1ppm, preferably <10ppb), and particles (< 5 particles @0.5 μm per liter)).

Reactor 20, the source vessel for trisilane 10 and optionally disilane 11, the tetrasilane product vessel, and any other contacting components may also be passivated prior to reaction by exposure to a silylating agent, such as silane, disilane, or trisilane. Passivation helps to minimize the reaction between the lower or higher silanes and the passivated material.

As shown in FIG. 1, Si may be used3H8Reactant 10 and optional Si2H6The reactants 11 are mixed in line 14 prior to introduction into the air and moisture free reactor 20. Alternatively, Si may be used3H8Reactant 10 and optional Si2H6Reactant 11 is introduced directly into reactor 20 via lines 12 and 13 (not shown). The Si may be pumped via a liquid metering pump (not shown), such as a diaphragm pump, peristaltic pump or syringe pump3H8Reactant 10 and optional Si2H6Reactant 11 is added to reactor 20.

In Si3H8Reactant 10 and optional Si2H6After the addition of reactant 11 is complete, reactor 20 may be heated to a temperature in the range of from about 25 ℃ to about 150 ℃, or alternatively from about 15 ℃ to about 100 ℃. Reactor 20 may be maintained at a desired temperature by a jacket (not shown). The jacket may have an inlet and an outlet (not shown). The inlet and outlet may be connected to a heat exchanger/cooler (not shown) and/or a pump (not shown) to provide recirculation of the heating or cooling fluid. Alternatively, the temperature of the reactor 20 may be maintained using heating tape (not shown) or a heating mantle (not shown), wherein the heating elements are connected to a temperature control unit (not shown). A temperature sensor (not shown) may be used to monitor the temperature of the contents of the reactor 20.

The lower silane reactant and catalyst may be stirred for a period of time in the range of from about 0.1 hour to about 72 hours, alternatively from about 1 hour to about 30 hours. The mixing may be carried out at about atmospheric pressure. The progress of the reaction can be monitored using, for example, gas chromatography. The main reaction product being SiH4、Si4H10、Si5H12And the like.

After the reaction is complete, the reactor 20 is cooled to about room temperature. When reactor 20 is jacketed, any heating fluid may be replaced with a cooling fluid to help cool reactor 20 and its contents. Liquid nitrogen, chilled ethanol, acetone/dry ice mixtures, or heat transfer agents may be used to cool reactor 20. Alternatively, any heating mechanism, such as a heating belt or heating jacket, may be turned off, and natural cooling may be performed. Any heavier liquid non-volatile silane 23 is filtered from the catalyst and solid reaction byproducts and removed from reactor 20 via line 22. Volatile silane 21 is stripped from reactor 20 by a pressure differential.

The volatile silane 21 may be collected in one or more traps 30 to obtain SiaH(2a+2)Mixture 31, wherein a is 1-6. Exemplary traps 30 include dry ice/isopropyl alcohol, dry ice/acetone, frozen ethanol, and/or liquid nitrogen traps. Can mix SiaH(2a+2)The mixture 31 is collected in one or more containers and transported to a new location before the next step is performed. Alternatively, mixture 31 may be immediately directed to distillation unit 40 to further separate the reaction product from any reactants and reaction byproducts. Distillation unit 40 distills Si4H10Product 45 with SiH4Reaction by-product 43, volatile SinH2n+2(wherein n.gtoreq.5) reaction by-product 44, and any unreacted Si3H8Reactant 41 and unreacted optional Si2H6The reactant 42 separates. Unreacted Si may be reacted3H8Reactant 41 and unreacted optional Si2H6The reactant 42 is recycled for future processing.

Again, before the next method step is performed,can mix Si4H10The product 45 is transported to a new location. Alternatively, Si may be used4H10The product 45 is directed to a fractional distillation unit 50 to separate n-tetrasilane 51 from iso-tetrasilane 52. The fractional distillation can be carried out using a static column or a rotating belt column. The length of the rotating belt distillation column is much smaller than the length of the static column and may be preferred for crowded facilities because it takes up less space. A static column suitable for producing about 90% n-tetrasilane would require between about 90 to about 120 theoretical plates and would be about 6 to 7 meters high.

FIG. 2 is a graph for the catalytic conversion of a lower silane reactant to n-Si having a ratio in the range of from about 5:1 to about 15:14H10:i-Si4H10Flow diagram of the mixture. Like reference numerals from fig. 1 have been used for like components in fig. 2. As in fig. 1, all of the contact parts in fig. 2 must be clean and free of air and moisture. As in fig. 1, may be under an inert atmosphere (such as N)2And noble gas (i.e., He, Ne, Ar, Kr, Xe), or a combination thereof).

Trisilane 10 and optionally disilane 11 are added via lines 12 and 13, respectively, to flow reactor 25. As in FIG. 1, Si may be added3H8Reactant 10 and optional Si2H6The reactants 11 are mixed in line 14 prior to introduction into the flow reactor 25. Alternatively, Si may be used3H8Reactant 10 and optional Si2H6Reactant 11 is introduced directly into flow reactor 25 via lines 12 and 13 (not shown). The Si may be pumped via a liquid metering pump (not shown), such as a diaphragm pump, peristaltic pump or syringe pump3H8Reactant 10 and optional Si2H6The reactant 11 is added to the flow reactor 25. Preferably, the mixing is carried out under an inert atmosphere at about atmospheric pressure.

As will be provided in more detail in the discussion of fig. 4 below, a catalyst (not shown) is located within the flow reactor 25. The flow reactor 25 is maintained at a temperature in the range of from about 25 ℃ to about 250 ℃, alternatively from about 40 ℃ to about 250 ℃, or in another alternative from about 50 ℃ to about 100 ℃. The temperature selected will depend on the catalyst selected and the reaction product of interest. The flow-through reactor 25 is maintained at a pressure in the range of from about 0.1atm to about 10 atm. The flow rates of the trisilane 10 and optionally the disilane 11 reactants are selected to provide a residence time of about 0.01 to about 100 minutes, alternatively between about 2 minutes and about 20 minutes, alternatively between about 1 second and about 1,000 seconds, or in another alternative from about 100 seconds to about 600 seconds in the flow reactor 25.

After passing through the flow reactor 25, Si is addedaH(2a+2)The mixture 26 (where a ═ 1-6) was collected in receiver 35. The receptacle 35 may be any type of trap including, but not limited to, dry ice/isopropyl alcohol, dry ice/acetone, frozen ethanol, and/or a liquid nitrogen trap.

As in FIG. 1 above, Si may be substitutedaH(2a+2)The mixture 31 is collected in one or more containers and transported to a new location before the next step is performed. Alternatively, mixture 31 may be immediately directed to distillation unit 40 to further separate the reaction product from any reactants and reaction byproducts. Distillation unit 40 distills Si4H10Product 45 with SiH4Reaction by-product 43, volatile SinH2n+2(wherein n.gtoreq.5) reaction by-product 44, and any unreacted Si3H8Reactant 41 and optional Si2H6The reactant 42 separates. Unreacted Si may be reacted3H8Reactant 41 and unreacted optional Si2H6The reactant 42 is recycled. Unreacted Si can be provided3H8Reactant 41 and unreacted optional Si2H6Real-time analysis and purification of reactant 42 to maintain quality during this continuous synthesis, such as filters and/or in situ GC analysis.

Again, Si may be added before the next method step is performed4H10The product 45 is transported to a new location.Alternatively, Si may be used4H10The product 45 is directed to a fractional distillation unit 50 to separate n-tetrasilane 51 from iso-tetrasilane 52. The fractional distillation can be formed using a static column or a rotating belt column. The length of the rotating belt distillation column is much smaller than the length of the static column and may be preferred for crowded facilities because it takes up less space. A static column suitable for producing about 90% n-tetrasilane would require between about 90 to about 120 theoretical plates and would be about 6 to 7 meters high.

Fig. 3 is a diagram of the flow reactor 20 of fig. 2. Note that no valves are included in this figure to make the figure easier to read.

Mixing SinH(2n+2)The reactant 100 is pressurized with nitrogen to supply Si to the flow reactor 120 via line 102nH(2n+2)And (3) reacting the raw materials. Line 102 is also connected to vacuum 110. Flow regulator 101 controls SinH(2n+2) The flow of reactants. The flow regulator 101 may be a graduated needle valve, an electronic flow meter, or the like. The gauge 103a measures pressure and may communicate with the flow regulator 101 to make adjustments accordingly.

The flow reactor 120 includes two thermocouples 121 and 122. More or fewer thermocouples may be used without departing from the teachings herein. Exemplary thermocouples suitable for use in the teachings herein include type K or type J thermocouples.

SiaH(2a+2)The reaction mixture exits flow reactor 120 via line 123. The pressure regulator 104 sets the pressure in the reactor 120 and provides a pressure differential that causes Si to formaH(2a+2)The reaction mixture 102 moves from the flow reactor 120 to a dry ice/isopropanol trap 130. Gauge 103b indicates the pressure in reactor 120. Dry ice/isopropanol trap 130 traps any Si condensed above about-78 deg.CaH(2a+2)And (3) reaction products.

Any volatile Si not trapped in the dry ice/isopropanol trapaH(2a+2)The reaction mixture is condensed via line 131 to liquid nitrogen trap 140. The liquid nitrogen trap 140 is trapped cold between about-78 ℃ and about-196 ℃Any Si condensedaH(2a+2)And (3) reaction products. Line 131 is also connected to vacuum line 110. Pressure gauge 103c indicates the pressure in line 131. Adding SiH4The by-products are sent via line 150 to an off-gas scrubber (not shown). N is a radical of2105 for reacting SiH4The by-product is diluted on its way to the exhaust gas scrubber. Check valve 106 prevents backflow of this pyrophoric byproduct.

Fig. 4 is a diagram of the flow reactor 120 of fig. 3. In fig. 4, valve 201 allows access to stainless steel tubular flow reactor 220 for troubleshooting or preventative maintenance. The stainless steel tube flow reactor 220 includes two thermocouples 221 and 222. As in fig. 3, more or fewer thermocouples may be used without departing from the teachings herein. Glass wool 202 is located at the beginning and end of stainless steel tubular flow reactor 220. The catalyst (not shown) may be filled on glass wool (not shown) between the glass wool 202 located at the beginning and end of the reactor or between the glass wool 202 filled at the beginning and end of the flow reactor 220. As a result, when Si is presentnH(2n+2)As the reactants pass through the glass wool at the beginning of the flow reactor 220, they may be heated prior to catalysis. One of ordinary skill in the art will recognize that a layer of glass beads and a granular catalyst may be used instead of a glass wool/catalyst mixture.

Heating belt 203 provides heat to stainless steel tubular flow reactor 220, if necessary. The thermal insulation layer 204 helps to maintain the temperature of the stainless steel tubular flow reactor 220. One of ordinary skill in the art will recognize that alternative heating devices may also be used without departing from the teachings herein. For example, the stainless steel tubular flow reactor 220 may alternatively be placed in an oven (not shown). In this embodiment, the insulation layer 204 would not be required.

One of ordinary skill in the art will recognize the source of the plant components for a system for practicing the disclosed methods. Based on the desired temperature range, pressure range, local regulations, etc., a certain level of customization of the components may be desired. Exemplary equipment suppliers include Parr Instrument Company (R) equipment and parts made of stainless steel.

As shown in the examples below, Si alone3H8Or Si3H8And Si2H6The catalytic conversion of the mixture of (a) results in the formation of tetrasilane, pentasilane and higher silanes. The tetrasilanes obtained also exhibit a ratio of n-Si of about 6 to 15:1, preferably about 8 to 15:14H10And i-Si4H10A mixture of (a).

n-Si4H10:i-Si4H10Fractional distillation (50 in FIGS. 1 and 2) of the mixture produces a Si-containing film-forming composition comprising between about 90% w/w to about 100% w/w of n-Si4H10Preferably between about 95% w/w to about 100% w/w of n-Si4H10And more preferably between about 97% w/w to about 100% w/w of n-Si4H10. The Si-containing film-forming composition further comprises between about 0% w/w to about 10% w/w of i-Si4H10Preferably between about 0% w/w to about 5% w/w of i-Si4H10(ii) a And more preferably between about 0% w/w to about 3% w/w of i-Si4H10. For example, about 192 g of 3:1n-Si are fractionally distilled using a rotating belt distillation column having a diameter of 1cm and a length of 100cm4H10:i-Si4H10After mixing, applicants have been able to produce about 90% w/w to about 95% w/w n-tetrasilane. One of ordinary skill in the art will recognize that n-Si will be higher than n-Si4H10:i-Si4H10The mixture of ratios and/or larger distillation column yields higher purity n-tetrasilane.

The Si-containing film-forming composition has a purity in a range from about 97% mol/mol to about 100% mol/mol, preferably from about 99% mol/mol to about 100% mol/mol, more preferably from about 99.5% mol/mol to about 100% mol/mol, and even more preferably from about 99.97% mol/mol to about 100% mol/mol.

The Si-containing film-forming composition preferably contains between the detection limit and 100ppbw of each potential metal contaminant (e.g., at least Ag, Al, Au, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K, Na, Sb, Ti, Zn, etc.).

The concentration of X (where X ═ Cl, Br, or I) in the Si-containing film-forming composition can range from about 0ppmw to about 100ppmw, and more preferably from about 0ppmw to about 10 ppmw.

As shown in the examples below, the purified product can be analyzed by Gas Chromatography Mass Spectrometry (GCMS). The structure of the product can be determined by1H and/or29Si NMR was confirmed.

As discussed in detail above and illustrated in the examples below, the Si-containing film-forming composition must be stored in a clean, dry storage container that does not react with the container to maintain its purity.

The advantages of the disclosed synthesis method are as follows:

lower process temperatures and higher yields of tetrasilane and higher silanes compared to pyrolysis processes, which helps to reduce cost and product separation problems;

the use of heterogeneous catalysts substantially eliminates the problem of contamination of the reaction product with catalyst and eliminates the step of purifying the residual catalyst in the reaction product;

the use of heterogeneous catalysts allows better control of the reaction time, while homogeneous catalysts have been reported to continue polymerizing trisilanes until quenched, in most cases in an uncontrolled manner;

the process is solvent-free;

purification by distillation only;

minimal waste generation and is environmentally benign; and

many starting materials are inexpensive and readily available.

All of the above are advantageous from the viewpoint of developing a scalable industrial process. As a result, the reaction product maintains a level of purity suitable for use in the semiconductor industry without the use of stabilizers, such as toxic organic amines that may be required to quench the reaction using homogeneous catalysts.

Also disclosed are methods of using the disclosed Si-containing film-forming compositions for vapor deposition processes. The disclosed methods provide for the use of a composition for forming Si-containing films for depositing Si-containing films, such as elemental Si films for the manufacture of electronic or optoelectronic devices or circuits. The disclosed method can be used to fabricate semiconductor, photovoltaic, LCD-TFT or flat panel devices. The method comprises the following steps: the disclosed vapor of the Si-containing film-forming composition is introduced into a reactor in which a substrate is disposed, and at least a portion of the disclosed Si-containing film-forming composition is deposited onto the substrate via a deposition process to form a Si-containing layer.

The disclosed method also provides for forming a bimetal-containing layer on a substrate using a vapor deposition process, and more particularly depositing SiMOxOr SiMNxA film, wherein x can be 0-4 and M is Ta, Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, a lanthanide (such As Er), or combinations thereof.

The disclosed method of forming a silicon-containing layer on a substrate can be used to fabricate semiconductor, photovoltaic, LCD-TFT or flat panel devices. The disclosed Si-containing film-forming compositions can be deposited as Si-containing films using any vapor deposition method known in the art. Examples of suitable vapor deposition methods include Chemical Vapor Deposition (CVD) or Atomic Layer Deposition (ALD). Exemplary CVD methods include thermal CVD, plasma enhanced CVD (pecvd), pulsed CVD (pcvd), low pressure CVD (lpcvd), sub-atmospheric CVD (sacvd), atmospheric pressure CVD (apcvd), flowable CVD (f-CVD), metalorganic chemical vapor deposition (MOCVD), hot wire CVD (HWCVD, also known as cat-CVD, where hot wire is used as an energy source for the deposition process), free radical bonded CVD, and combinations thereof. Exemplary ALD methods include thermal ALD, Plasma Enhanced ALD (PEALD), spatially isolated ALD, hot-wire ALD (HWALD), free radical bonded ALD, and combinations thereof. Supercritical fluid deposition may also be used. Among them, thermal CVD deposition is preferably used for processes in which a high deposition rate, excellent film uniformity, and conformal film quality are required. Thermal ALD deposition is preferred for processes that form films with high uniformity under severe conditions (e.g., trenches, holes, or vias). In one alternative, PECVD deposition is preferred, particularly when fast growth, conformality, process orientation, and unidirectional films are desired. In another alternative, the PEALD deposition method is preferred, particularly when superior conformality of the deposited film on challenging surfaces (e.g., trenches, holes, or vias) is desired.

Vapor of the composition for forming the Si-containing film is introduced into a reaction chamber containing the substrate. The temperature and pressure within the reaction chamber and the temperature of the substrate are maintained at conditions suitable for vapor deposition of at least a portion of the composition forming the Si-containing film onto the substrate. In other words, after introducing the vaporized composition into the chamber, conditions within the chamber cause at least a portion of the vaporized precursor to deposit onto the substrate to form the silicon-containing film. The co-reactant may also be used to assist in forming the Si-containing layer.

The reaction chamber may be any enclosure or chamber of an apparatus in which the deposition method is performed, such as, but not limited to, a parallel plate type reactor, a cold wall type reactor, a hot wall type reactor, a single wafer reactor, a multi-wafer reactor, or other such type of deposition system. All of these exemplary reaction chambers can be used as ALD reaction chambers. The reaction chamber may be maintained at a pressure in a range from about 0.5 millitorr to about 760 torr. Additionally, the temperature within the reaction chamber may range from about 20 ℃ to about 700 ℃. One of ordinary skill in the art will recognize that the temperature can be optimized by experimentation alone to achieve the desired results.

The temperature of the reactor can be controlled by controlling the temperature of the substrate holder and/or controlling the temperature of the reactor walls. Devices for heating substrates are known in the art. The reactor walls may be heated to a sufficient temperature to obtain a desired film of sufficient growth rate and having a desired physical state and composition. Non-limiting exemplary temperature ranges to which the reactor walls may be heated include from about 20 ℃ to about 700 ℃. When using a plasma deposition method, the deposition temperature may range from about 20 ℃ to about 550 ℃. Alternatively, when performing a thermal process, the deposition temperature may be in the range of from about 300 ℃ to about 700 ℃.

Alternatively, the substrate may be heated to a sufficient temperature to obtain a desired silicon-containing film of sufficient growth rate and having a desired physical state and composition. Non-limiting exemplary temperature ranges to which the substrate may be heated include from 150 ℃ to 700 ℃. Preferably, the temperature of the substrate is maintained at less than or equal to 500 ℃.

The type of substrate on which the silicon-containing film is to be deposited will vary depending on the intended end use. A substrate is generally defined as a material on which a method is performed. Substrates include, but are not limited to, any suitable substrate used in the manufacture of semiconductor, photovoltaic, flat panel, or LCD-TFT devices. Examples of suitable substrates include wafers, such as silicon, silicon dioxide, glass, Ge, or GaAs wafers. The wafer may have one or more layers of different materials deposited thereon from a previous fabrication step. For example, the wafer may include a silicon layer (crystalline, amorphous, porous, etc.), a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a carbon-doped silicon oxide (SiCOH) layer, or a combination thereof. In addition, the wafer may include a copper layer, a tungsten layer, or a metal layer (e.g., platinum, palladium, nickel, rhodium, or gold). The wafer may include barrier layers such as manganese, manganese oxide, tantalum nitride, and the like. These layers may be planar or patterned. In some embodiments, the substrate may be coated with a patterned photoresist film. In some embodiments, the substrate may include an oxide layer (e.g., based on ZrO) for use as a dielectric material in MIM, DRAM, or FeRam technologies2Based on HfO2Based on TiO2Rare earth oxide based materials, ternary oxide based materials, etc.) or a nitride based film (e.g., TaN) that serves as an electromigration barrier and adhesion layer between the copper and the low-k layer. The disclosed methods may deposit the silicon-containing layer directly on the wafer or directly on one or more layers on top of the wafer (when the patterned layer forms the substrate). Further, one of ordinary skill in the art will recognize that the term "film" or "layer" as used herein refers to a thickness of a material that is laid or spread on a surface, and the surface may be a groove or line. Throughout the specification and claims, a wafer and any associated layers thereon are referred to as a substrate. The actual substrate used is alsoMay depend on the particular precursor embodiment used. However, in many cases, the preferred substrate used will be selected from hydrogenated carbon, TiN, SRO, Ru, and Si type substrates, such as polysilicon or crystalline silicon substrates.

The substrate may be patterned to include vias or trenches having a high aspect ratio. For example, any ALD technique may be used to deposit a conformal Si-containing film (such as SiN or SiO) on through-silicon vias (TSVs) having an aspect ratio in the range from about 20:1 to about 100:12)。

The composition for forming the Si-containing film can be supplied neat. Alternatively, the Si-containing film-forming composition may further comprise a solvent suitable for vapor deposition. The solvent may in particular be chosen from C1-C16Saturated or unsaturated hydrocarbons.

For vapor deposition, the Si-containing film-forming composition is introduced into the reactor in vapor form by conventional means, such as a tube and/or flow meter. The vapor form can be produced by vaporizing the Si-containing film-forming composition through conventional vaporization steps, such as direct liquid injection, direct vapor evacuation in the absence of a carrier gas, by bubbling a carrier gas through a liquid, or by purging the vapor with a carrier gas without bubbling it through a liquid. The Si-containing film-forming composition may be fed in a liquid state to a vaporizer (direct liquid injection), where it is vaporized and mixed with a carrier gas, and then introduced into the reactor. Alternatively, the Si-containing film-forming composition may be vaporized by passing a carrier gas into a container containing the composition or by bubbling a carrier gas into the composition. The carrier gas may include, but is not limited to, Ar, He, or N2And mixtures thereof. The carrier gas and composition are then introduced into the reactor as a vapor.

If desired, the Si-containing film-forming composition can be heated to a temperature that allows the Si-containing film-forming composition to have a sufficient vapor pressure. The delivery device may be maintained at a temperature in the range of, for example, 0-150 ℃. One skilled in the art recognizes that the temperature of the delivery device can be adjusted in a known manner to control the amount of vaporized Si-containing film-forming composition.

In addition to the disclosed compositions, reaction gases may also be introduced into the reactor. The reactant gas may be an oxidant, such as O2;O3;H2O;H2O2;N2O; oxygen-containing radicals, such as O.or OH.; NO; NO2(ii) a Carboxylic acids such as formic acid, acetic acid, propionic acid; NO, NO2Or a free radical species of a carboxylic acid; paraformaldehyde; and mixtures thereof. Preferably, the oxidizing agent is selected from the group consisting of: o is2、O3、H2O、H2O2Oxygen-containing free radicals thereof (such as O. or OH.), and mixtures thereof. Preferably, when performing an ALD process, the co-reactant is plasma treated oxygen, ozone, or a combination thereof. When an oxidizing gas is used, the resulting silicon-containing film will also contain oxygen.

Alternatively, the reactant gas may be H2、NH3、(SiH3)3N, hydridosilanes (such as SiH)4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12) Chlorosilanes and chloropolysilanes (such as SiHCl)3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8) Alkylsilanes (such as Me)2SiH2、Et2SiH2、MeSiH3、EtSiH3) Hydrazine (such as N)2H4、MeHNNH2MeHNNHMe), organic amines (such as NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH), diamines (such as ethylenediamine, dimethylethylenediamine, tetramethylethylenediamine), pyrazolines, pyridines, B-containing molecules (such as B)2H6Trimethyl boron, triethyl boron, borazine, substituted borazine, dialkylaminoborane), alkyl metals (such as trimethyl aluminum, triethyl aluminum, dimethyl zinc, diethyl zinc), radical species thereof, or mixtures thereof. When using H2Or an inorganic Si-containing gas,the resulting silicon-containing film can be pure Si.

Alternatively, the reaction gas may be a saturated or unsaturated, straight, branched, or cyclic hydrocarbon such as, but not limited to, ethylene, acetylene, propylene, isoprene, cyclohexane, cyclohexene, cyclohexadiene, pentene, pentyne, cyclopentane, butadiene, cyclobutane, terpinene, octane, octene, or combinations thereof.

The reactant gas may be treated with a plasma to decompose the reactant gas into its radical form. When treated with plasma, N2May also be used as a reducing agent. For example, a plasma having a power in the range of from about 50W to about 500W, preferably from about 100W to about 200W, may be generated. The plasma may be generated or present in the reactor itself. Alternatively, the plasma may generally be located remotely from the reactor, such as in a remotely located plasma system. Those skilled in the art will recognize methods and apparatus suitable for such plasma processing.

The desired silicon-containing film also contains another element such As, but not limited to, B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si, or Ge.

The Si-containing film-forming composition and the one or more co-reactants can be introduced into the reaction chamber simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or in other combinations. For example, the vapor of the composition forming the Si-containing film may be introduced in one pulse, and the two additional metal sources may be introduced in separate pulses (modified atomic layer deposition). Alternatively, the reaction chamber may already contain the co-reactant prior to introducing the Si-containing film-forming composition. The co-reactant may be passed through a plasma system located within or remote from the reaction chamber and decomposed into radicals. Alternatively, the Si-containing film-forming composition may be continuously introduced into the reaction chamber while other precursors or reactants are introduced by pulsing (pulsed chemical vapor deposition). In another alternative, the Si-containing film-forming composition and the one or more co-reactants may be sprayed simultaneously from a showerhead under which the susceptor holding several wafers is rotated (spatial ALD).

In one non-limiting exemplary atomic layer deposition process, a vapor phase of a composition that forms a Si-containing film is introduced into a reaction chamber where it is contacted with a suitable substrate. Excess composition may then be removed from the reaction chamber by purging and/or evacuating the reaction chamber. An oxygen source is introduced into the reaction chamber where it reacts in a self-limiting manner with the absorbed Si-containing film-forming composition. Any excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. This two-step process can provide the desired film thickness if the desired film is a silicon oxide film, or can be repeated until a film having the necessary thickness is obtained.

Alternatively, if the desired film is a silicon metal/metalloid oxide film (i.e., SiMO)xWhere x may be 0-4 and M is B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ga, Ge, or combinations thereof), the above two-step process may be followed by introducing a vapor of a metal-or metalloid-containing precursor into the reaction chamber. The metal or metalloid containing precursor will be selected based on the nature of the deposited silicon metal/metalloid oxide film. After introduction into the reaction chamber, a metal or metalloid-containing precursor is contacted with the substrate. Any excess metal or metalloid-containing precursor is removed from the reaction chamber by purging and/or evacuating the reaction chamber. Again, an oxygen source may be introduced into the reaction chamber to react with the metal or metalloid containing precursor. Excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If the desired film thickness has been reached, the process may be terminated. However, if a thicker film is desired, the entire four-step process can be repeated. By alternately providing the Si-containing film-forming composition, the metal or metalloid-containing precursor, and the oxygen source, films of desired composition and thickness can be deposited.

In addition, by varying the number of pulses, a film having a desired stoichiometric M to Si ratio can be obtained. For example, the SiMO can be obtained by having a pulsed Si-containing film-forming composition and a pulsed metal-or metalloid-containing precursor2A membrane, wherein each pulse is followed by a pulse of an oxygen source. However, the fieldOne of ordinary skill in the art will recognize that the number of pulses required to obtain a desired film may be different than the stoichiometric ratio of the resulting film.

The silicon-containing film resulting from the above-discussed method may comprise SiO2(ii) a SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SimO, SiMN, where M is selected from Zr, Hf, Ti, Nb, V, Ta, Al, Ge, of course depending on the oxidation state of M. One of ordinary skill in the art will recognize that the desired film composition may be achieved by appropriate selection of the appropriate Si-containing film-forming composition and coreactants.

After the desired film thickness is achieved, the film may be subjected to further processing, such as thermal annealing, furnace annealing, rapid thermal annealing, UV or electron beam curing, and/or plasma gas exposure. Those skilled in the art will recognize systems and methods for performing these additional processing steps. For example, the silicon-containing film may be exposed to a temperature in a range from about 200 ℃ to about 1000 ℃ for a time in a range from about 0.1 seconds to about 7200 seconds under an inert atmosphere, an H-containing atmosphere, an N-containing atmosphere, or a combination thereof. Most preferably, the temperature is 600 ℃ for less than 3600 seconds. Even more preferably, the temperature is less than 400 ℃. The annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber and the annealing/rapid annealing process is performed in a separate apparatus. Any of the above post-treatment methods (but especially UV curing) have been found effective in enhancing the connectivity and cross-linking of the film and in reducing the H content of the film (when the film is a SiN-containing film). Typically, a combination of thermal annealing to <400 ℃ (preferably about 100 ℃ -300 ℃) and UV curing is used to obtain a film with the highest density.

For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings, in which:

examples of the invention

The following non-limiting examples are provided to further illustrate embodiments of the present invention. These examples, however, are not intended to be all inclusive and are not intended to limit the scope of the invention described herein.

The reaction products may be analyzed by any suitable means, such as by Gas Chromatography (GC) using a portion of the product stream or an aliquot of the product. In the following examples, GC analyses were performed on Agilent 7890A and Agilent 6890 gas chromatographs equipped with a Thermal Conductivity Detector (TCD). The injection port being inert (N)2Or Ar) atmosphere.

An exemplary method: column: rtx-1 (crosslinked dimethylpolysiloxane) 105m x 0.53mm x 5 μm. The detector T is 250 ℃; reference flow rate: 20 mL/min; tail-blow flow: 5 mL/min; carrier gas: 5mL/min (helium); oven: raising the temperature by 20 ℃/min, 200 ℃ and 13min at 35 ℃ and 8 min; an injector: 200 ℃; a no-shunt mode; sample amount: 1.0. mu.L.

Example 1: summary of the claimed catalyst

The applicant has surprisingly found that the selective catalytic activity of a heterogeneous catalyst comprising I, II and/or a group III element of the periodic table, as summarized in tables 1 and 2:

TABLE 1 catalyst conversion of liquid Si as claimed3H8Activity of (2)

All figures are in% w/w

All reactions were performed at room temperature, except for 3 hours KN (SiMe) at 41 deg.C3)2

Si3H899.8% w/w of reactants

Using 1% -5% w/w catalyst

The silane mixture was filtered from the heterogeneous catalyst prior to GC measurement except for 3 hours KN (SiMe) from the dry ice trap3)2(see example 6)

VitrideTMUnder the trademark Viride by Vartelus Holdings LLCTMSold or marketed by Sigma Aldrich technology Inc. (Sigma-Aldrich Biotechnology LP)Sold Na [ AlH ]2(OCH2CH2OMe)2]

ActiveGelTMUnder the trademark ActiveGel by sagnac chemical IncTMSold 30% -40% Na/silica

ActivelsomTMUnder the trademark Activelsom by sagina chemical company (SiGNa Chemistry, Inc.)TM12% -15% Na for sale2O/0-3%Na/85%-90%Al2O3

Under the applied conditions, on n-Si4H10Selectivity of (a): KH (Perkin Elmer)>KN(SiMe3)2≈KSiPh3>LiAlH4>VitrideTMSilicon dioxide>ActivelsomTM>ActiveGelTM>MgH2>BuLi

Conversion of liquid Si3H8Activity of (2): vitrideTMSilicon dioxide>KSiPh3>KN(SiMe3)2≈ActivelsomTM>ActiveGelTM>n-BuLi>MgH2>KH>LiAlH4

TABLE 2 in gas Si3H8Activity of the claimed catalyst in% of reaction product in 1 pass through the tube with catalyst.

The temperature range tested was 50 ℃ to 300 ℃ and the highest activity is reported in the table. No solids were formed under the conditions reported.

For liquid phase reactions, n-Si4H10/i-Si4H10The isomer ratio is much higher.

Additional synthetic details are provided in the examples below.

Comparative example 1: for the prior art catalyst and liquid Si3H8Summary of the results of

Using a prior art homogeneous catalyst Cp2ZrCl2/BuLi、Cp2ZrCl2/LiNMe2、RuCl4(P-cymene)2And Ni (COD)2(COD ═ cyclooctadienyl) on liquid Si3H8Catalysis [ catalyst from: joyce Y.Corey, "dehydration of hydrosilans to polysiloxanes and Silicon Oligomers A30 Yeast Overview [ Dehydrocoupling of Hydrosilanes to Polysilanes and Silicon Oligomers: overview in 30 years]", Advances in Organometallic Chemistry [ Organometallic chemical evolution]Vol 51, 2004 Aisiwei Inc. (Elsevier Inc.)]. Liquid Si also was carried out using prior art heterogeneous catalysts Ru (5%)/C and Rh (5%)/C3H8Catalysis [ catalyst from: "Method for Producing Semiconductor Material]", Keizo Ikai; masaki Minami; mitsuo Matsuno, new-japanese petroleum company (Nippon Oil co., Ltd.), US 5700400 a, 8/14/1995]. Also tested were compounds on silica and with MMAO (MMAO ═ modified methylaluminoxane, formula [ (CH)3)0.95(n-C8H17)0.05AlO]n) Combined FeCl3

Table 3 comparative testing of catalysts against liquid trisilane.

n.d. -, not detected.

Similarity-the relative amount of higher order silane after testing is similar to the relative amount of trisilane before testing, within (+/-0.2%)

CpTiCl2And CpZrCl2Homogeneous catalysts polymerize trisilanes to nonvolatile solids in an uncontrolled manner. As a result, these catalysts are not useful for the controlled synthesis of isomer-enriched tetrasilanes or liquid higher orderA silane.

RuCl4(P-cymene)2、Ni(COD)2And FeCl3Homogeneous catalysts and Ru (5%)/C and Rh (5%)/C heterogeneous catalysts are not active for the conversion of unsubstituted liquid trisilanes to higher silanes.

Comparative example 2: for the prior art catalyst and gas Si3H8Summary of the results of

Si was brought to a specified temperature at a flow rate of 0.1g/min3H8The vapors (1.8-2.3g) were passed through a flow reactor. The reactor was a stainless steel 1/4 inch by 5 inch long tube containing 0.15g Ru/C and 0.25g glass wool or 0.17g Rh/C and 0.37g glass wool. Prior to the experiment, Ru/C, Rh/C and glass wool were held at 240 ℃ under dynamic vacuum for 26 hours to remove moisture. The collected products were analyzed by GC, see the results in tables 4 and 5 below.

TABLE 4 results for trisilanes over Ru (5%)/C.

TABLE 5 results for trisilanes over Rh (5%)/C

The Ru (5%)/C and Rh (5%)/C heterogeneous catalysts are not active for the conversion of unsubstituted gaseous trisilanes to higher silanes.

Example 2: liquid Si3H8And Na [ AlH ] on silica2(OCH2CH2OMe)2](35%)

By mixing silica predried in vacuo at 300 ℃ with Na [ AlH ]2(OCH2CH2OMe)2](by Vertellus Holdings LLC) of Vanderues corporation in the trademark VirideTMOr from Sigma Aldrich technology Inc. (Sigma-Aldrich Biotechnology LP)Sold) was mixed with a 65% w/w toluene solution to prepare 35% w/w Na [ AlH ] on silica catalyst2(OCH2CH2OMe)2]. The mixture was stirred at room temperature for 12 hours. All volatiles were removed under dynamic vacuum. The remaining solid was used as catalyst.

In a glove box, mixing liquid Si3H8(3.1g, 33.6mmol) and catalyst (0.18g, Na [ AlH ]2(OCH2CH2OMe)2]0.063g, 0.32mmol) was stirred in the vial at room temperature for 3 hours. After 3 hours the stirring was stopped. Any solids were allowed to settle and an aliquot of the clear supernatant was collected for GC analysis. GC analysis of the clear solution revealed Si2-Si8Silane mixture containing 44.8% Si3H8;2.0%iso-Si4H10And 19.3% n-Si4H10Total of 10.8% Si5H12And 14.1% silane with a total of 6 or more silicon atoms.

Vitride on silicaTMConverting liquid trisilanes to silane mixtures in a pot reaction and for n-Si4H10Has excellent selectivity of [ n-Si ]4H10:i-Si4H10=9.7:1]. To illustrate the applicability of the process for industrial applications, a flow reaction was carried out with gas and liquid trisilane.

Example 3: gaseous Si3H8And Na [ AlH ]2(OCH2CH2OMe)2]

Si was brought to a specified temperature at a flow rate of 0.1g/min3H8The vapor (1.22-2.25g, 99.8% purity w/w) was passed through a heated tube (4.7 "L x 0.25.25" ID). The reactor contained 50 wt% of Na [ AlH ] on silica catalyst prepared in example 22(OCH2CH2OMe)2](35% w/w) and 50 wt% glass wool. The product collected in the liquid nitrogen trap was analyzed by GC. The results are presented in table 6.

TABLE 6

“g Si3H8"column is gas Si introduced into the reactor3H8The amount of (c). The column "g. liquid" is the amount of liquid recovered.

The column "gas phase (mmol)" is the known pressure of trisilane on liquid trisilane at 20 ℃ and SiH in GC for the gas phase using the gas law4、Si2H6And Si3H8Is calculated at 50cm3Mmol of silane at 20 ℃ in the receiver.

“Si5H12The column is the total relative amount of pentasilane.

"heavy matter is not less than Si6The "column is the total relative amount of silane that has 6 or more silicon atoms and is visible in the GC chromatogram.

The column "loss (g)" is a weight loss parameter indicating the formation of solid polymer in the reactor. If the weight loss in a separate experiment is close to 0, polymer formation does not occur.

Vitride on silica catalyst as in example 2TMShowing significant activity against gaseous trisilane.

In contrast, according to GC, the relative amount of heavy silane having 6 or more silicon atoms in the gas reaction is lower than in the liquid reaction. In addition, no solid polymeric silane is formed during the gas flow.

However, the gas process has low selectivity for ortho-tetrasilane.

To illustrate that the selectivity and relative amount of heavy silane can be fine tuned, liquid trisilane was passed through a reactor having Vitride on silicaTMSee the next example.

Example 4 liquid Si3H8And Na [ AlH ]2(OCH2CH2OMe)2]In the process of flow

Three separate liquid Si3H8Samples were passed through the same reactor (20.9cm L x 1cm ID) at 52.0 ℃. + -. 0.7 ℃ and 31.1. + -. 0.6psig at a flow rate of 1.1. + -. 0.1 g/min. The reactor contained 7.9g of 46.8% w/w Viride on silica on 3.0g of glass woolTM(3.7g active ingredient). The effluent was collected in a dry ice trap and then in a liquid nitrogen trap. The contents of the trap were analyzed by GC. The contents of the dry ice trap were distilled to give 4.4g of undistilled liquid. The undistilled liquid is a silane mixture having 6 or more silicon atoms GC]. The distillate is a mixture of volatile silanes having 1 to 8 silicon atoms. FIG. 5 is a graph showing the reaction in liquid Si3H8Vitride on silica catalyst in one passTMThereafter, a gas chromatogram of the volatile liquid product from the dry ice trap. The results are summarized in tables 7 and 8 below.

TABLE 7 use of liquid trisilanes and Vitride on silicaTMAs a result of the flow reaction.

TABLE 8 Mass balance, yield in g/mol.

1. Similar catalysts were used in all experiments at 1 and 14 days after packaging.

2. Vitride on silicaTMHas remarkable activity.

3. In 3 experiments (1 pass each, here showing the results of 2 experiments) 7.9g of 46.8% Vitride on silicaTM(3.7g of active component) 159g of Si were consumed3H8Production of n-Si with reasonable selectivity and yields of 10% -14%4H10

4. This example illustrates the potential for scaling.

Example 5 liquidSi2H6/Si3H8Mixture and Na [ AlH ]2(OCH2CH2OMe)2]In the process of flow

Liquid Si at 51.9 + -3.5 deg.C and a pressure of 30.6 + -0.4 psig at a flow rate of 1.4 + -0.3 g/min (corresponding to a residence time of 442 + -77 seconds)2H6(42.5%w/w)-Si3H8(57.5% w/w) (174.0g) was passed through a reactor (20.9cm L x 1cm ID). The reactor contained 7.0g of 46.8% w/w Viride on silica on 2.6g of glass woolTM(3.3g active ingredient). The effluent was collected in a dry ice trap and then in a liquid nitrogen trap. The contents of the trap were analyzed by GC. The contents of the dry ice trap were distilled to give 2.0g of undistilled liquid. The undistilled liquid is a silane mixture having 6 or more silicon atoms GC]. The distillate is a mixture of volatile silanes having 1 to 8 silicon atoms. The results are summarized in tables 9 and 10 below.

TABLE 9 use of disilane-trisilane mixtures and Vitride on silicaTMAs a result of the flow reaction.

TABLE 10 for Si3H8And Si2H6-Si3H8Passage of the mixture, comparison of the products

As can be seen from the table, for Si2H6-Si3H8The relative amount of heavier silanes (Si. gtoreq.6) is lower in the mixture.

Example 6: si3H8And KN (SiMe)3)2

Mixing liquid Si3H8(28.8g, 99.8% purity w/w) and solid KN (SiMe)3)2(0.3g, 1.5mmol) thermocouple-equipped reaction in a glove boxIn the reactor. Two traps were installed after the reactor. During the reaction, the first trap after the condenser was kept empty at room temperature. During the reaction, the second trap was cooled with liquid nitrogen to trap SiH4And Si2H6. Connecting the reactor to a manifold, and N2The atmosphere was replaced with He atmosphere. The condenser was filled with dry ice. The reaction mixture under 1atm. helium was heated to 41 ℃ -50 ℃ and stirred for 3 hours. After 3 hours, the heating was stopped and the reaction mixture was cooled to room temperature. The dry ice was removed from the condenser. The first trap was cooled with dry ice and the second trap was cooled with liquid N2And keeping cooling. In a dry ice trap [20.2g liquid, GC: 74.2% Si3H8;1.3%iso-Si4H10And 15.3% n-Si4H10]And a liquid nitrogen trap [4.7g, GC: 45.9% SiH4,49.1%Si2H6,5.0%Si3H8]The reaction product is stripped under dynamic vacuum. The undistilled reaction product remaining in the pot [3.2g]Filtered and also analyzed by GC [ Si5-Si12Mixtures of silanes]。

KN(SiMe3)2Converting liquid trisilanes to silane mixtures in a pot reaction and for n-Si4H10Has excellent selectivity of [ n-Si ]4H10:i-Si4H10=11.8:1]. To illustrate the applicability of the process for industrial applications, a flow reaction was carried out with gas and liquid trisilane.

Example 7: gaseous Si3H8And KN (SiMe)3)2

Experiments were performed on a similar apparatus as example 3. The experimental results are provided in table 11. The flow reactions were carried out at different temperatures to check the performance of the catalyst.

TABLE 11 by KN (SiMe)3)2As a result of the gaseous trisilane of (a).

The catalytic activity increases with increasing temperature. At the same time, with the temperature rising, the p-Si4H10The selectivity of (a) is reduced.

The relative amount of non-volatile silane remaining in the tube with catalyst increases with increasing temperature, being low at 63 ℃ and 42 ℃.

To illustrate that the selectivity and relative amount of heavy silane can be fine tuned during flow, liquid trisilane was passed with KN (SiMe) at about 80 deg.C3)2See the next example.

Example 8: with liquid Si3H8And solid KN (SiMe)3)2Flow process of catalyst

Si is brought at 73.2 ℃. + -. 1.8 ℃ and a pressure of 27.2. + -. 0.5psig at a flow rate of 1.2. + -. 0.3g/min (corresponding to a residence time of 467. + -. 106 seconds)3H8The liquid (178.2g) was passed through the reactor. The reactor contained 3.6g KN (SiMe) on 3.6g glass wool3)21cm inside diameter 20.8cm long stainless steel tube. After the liquid trisilane passed through the flow reactor, the product was collected in a dry ice trap (175.5g) and then in a liquid nitrogen trap (2.7 g). The gas and liquid phases of the trap were analyzed by GC. The contents of the dry ice trap were distilled. From the dry ice trap, 1.7g of a nonvolatile liquid was obtained. The non-volatile liquid is a mixture of silanes having 6 or more silicon atoms GC]. 173.8g of volatile silane were obtained from a dry ice trap. The volatile silane being silane SinH2n+2(n-1-8). The non-volatile liquid is a mixture of silanes having 6 or more silicon atoms GC]. FIG. 6 is a graph showing the reaction in liquid Si3H8One-pass KN (SiMe)3)2After the catalyst, a gas chromatogram of the volatile liquid product distilled off from the dry ice trap was obtained. The results are summarized in tables 12 and 13 below.

TABLE 12 application of liquid trisilanes and KN (SiMe)3)2As a result of the flow reaction.

TABLE 13 Mass balance, yield in g/mol.

KN(SiMe3)2Has significant activity in flow reactions with liquid trisilanes and produces small amounts of non-volatile silane.

This example illustrates the potential for scaling.

Example 9: gaseous Si3H8And Na on silica (35%)

Experiments were performed on a similar apparatus as example 3. The experimental results are provided in table 14.

Table 14 results for trisilane by Na on silica (35%).

Despite these results for n-Si4H10Is not as selective as Viride on silicaTMOr KN (SiMe)3)2The results obtained, but they are still better than those obtained from the prior art catalysts of comparative examples 1 and 2.

Example 10: gaseous Si3H8And Na on alumina2O

Experiments were performed on a similar apparatus as example 3. The experimental results are provided in table 15.

Watch 15

High SiH in the first experiment4The value and weight gain may be due to Si3H8Reaction with surface OH gives SiH4And OSi2H5

Despite these results for n-Si4H10Is not as selective as Viride on silicaTMOr KN (SiMe)3)2The results obtained, but they are still better than the majority of the results obtained from the prior art catalysts of comparative examples 1 and 2.

Example 11: gaseous Si3H8And KH

Experiments were performed on a similar apparatus as example 3. The experimental results are provided in table 6.

TABLE 16

Negative values may be due to lack of precision and zero weight deviation.

Weight loss was due in part to Si3H8During the passage of (2) vacuum is applied to remove H2

Example 12: shelf life study of tetrasilane

Shelf life studies were conducted to verify that the fixed ratio of 2 tetrasilane isomers remained stable at room temperature and 35 ℃. The tetrasilane obtained from the pyrolysis process is stored in a plurality of containers at room temperature. The other vessel was stored at 35 ℃. The liquid content over time was measured by GC.

A6L aluminum cylinder was heated at 52 ℃ and 4.4X10-6And (5) carrying out vacuum baking under the support. A 50mL stainless steel container was vacuum baked at about 200 c and 50 mtorr. A 1.2L stainless steel bubbler was vacuum baked at approximately 150 c and 85 mtorr. The list of containers studied and the content of tetrasilane therein are presented in table 17.

TABLE 17

Initial amount on day 1

Mean ratio and standard deviation over the duration of the experiment.

FIG. 7 is n-Si at ambient temperature4H10:i-Si4H10Graph of ratio versus time.

FIG. 8 is n-Si in a 1.2L stainless steel bubbler at room temperature (triangles) or 35 deg.C (squares)4H10:i-Si4H10Graph of ratio versus time.

The isomer ratios were similar within 400 days in all experiments, with deviations below 2%, n-Si4H10:i-Si4H10The highest deviation in the ratio was 1.9% for 55cc of uncoated container, demonstrating the stability of the composition over time for vapor deposition.

The composition for stability testing contains 70% -79% w/w n-Si4H10And 21% -29% of i-Si4H10. We expect to have n-Si between 90% and 95% w/w4H10The compositions of (a) will work in the same manner, since the difference from the reported compositions is 10% -20% n-Si4H10

Comparative example 3: halide concentration of commercially available n-tetrasilane

Commercially available n-tetrasilane from an established catalog of commercial n-tetrasilane suppliers was analyzed by GC. The commercially available product contained 34.3% w/w of i-Si4H10And 64.1% w/w of n-Si4H10And Si4H10Is 98.4% w/w and it contains Si2H6 0.1%w/w、Si3H8 0.5%w/w、Si5H12 0.6%w/w、Si6H14 0.3%w/w、Si7H160.1% w/w. Ratio n-Si4H10:i-Si4H101.9: 1. Due to i-Si4H10And n-Si4H10Different boiling points of lower and higher silanes, i-Si4H10/n-Si4H10Such compositions with lower and higher silanes can generate vapor pressure over timeAnd (4) drifting. This may result in a non-reproducible increase in the rate per cycle, which is unacceptable for vapor deposition processes.

While embodiments of the invention have been shown and described, modifications thereof can be made by one skilled in the art without departing from the spirit or teaching of the invention. The embodiments described herein are exemplary only and are not limiting. Many variations and modifications of the compositions and methods are possible and are within the scope of the invention. Accordingly, the scope of protection is not limited to the embodiments described herein, but is only limited by the claims that follow, the scope of which shall include all equivalents of the subject matter of the claims.

43页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于生产液体聚硅烷和异构体富集的高级硅烷的方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类