X-ray metrology system with broadband laser generated plasma illuminator

文档序号:1256660 发布日期:2020-08-21 浏览:14次 中文

阅读说明:本技术 具有经宽带激光器产生的等离子体照明器的x射线计量系统 (X-ray metrology system with broadband laser generated plasma illuminator ) 是由 O·可哈达金 A·毕卡诺维 于 2019-01-07 设计创作,主要内容包括:本文中描述用于利用宽带、软X射线照明源的基于x射线的半导体计量的方法及系统。经激光器产生的等离子体LPP光源产生高亮度、宽带、软x射线照明。所述LPP光源将高度聚焦、短持续时间激光器源引导到处于液态或固态的非金属液滴目标。在一个实例中,液滴产生器按50千赫与400千赫之间的速率施配馈料的一系列标称50微米液滴。在一个方面中,每一激励光脉冲的持续时间小于一纳秒。在一些实施例中,每一激励光脉冲的持续时间小于0.5纳秒。在一些实施例中,所述LPP光源包含气体分离系统,所述气体分离系统将未消耗馈料与所述等离子体室中的其它气体分离且将所述经分离馈料提供回到所述液滴产生器。(Methods and systems for X-ray based semiconductor metrology with a broadband, soft X-ray illumination source are described herein. The plasma LPP light source produced by the laser produces high brightness, broadband, soft x-ray illumination. The LPP light source directs a highly focused, short duration laser source to a non-metallic droplet target in a liquid or solid state. In one example, the drop generator dispenses a series of nominal 50 micron drops of feed at a rate of between 50 kilohertz and 400 kilohertz. In one aspect, the duration of each excitation light pulse is less than one nanosecond. In some embodiments, the duration of each excitation light pulse is less than 0.5 nanoseconds. In some embodiments, the LPP light source includes a gas separation system that separates unconsumed feed from other gases in the plasma chamber and provides the separated feed back to the droplet generator.)

1. A laser-generated plasma light source, comprising:

a plasma chamber having at least one wall operable in part to contain a flow of a buffer gas within the plasma chamber;

a droplet generator that dispenses a series of droplets of a non-metallic feed material in a solid or liquid state into the plasma chamber;

a pulsed laser that generates an excitation light pulse that is directed to droplets of the feed material in the plasma chamber, the excitation light pulse having a duration of less than one nanosecond, wherein interaction of the excitation light pulse with the droplets of the feed material causes the droplets to ionize to form a plasma that emits illumination light, wherein the illumination light comprises broadband light in a spectral region from about 1 nanometer to about 20 nanometers, wherein the illumination light is usable to illuminate a sample under test.

2. The laser generated plasma light source of claim 1, further comprising:

a gas recirculation system configured to separate a feed amount from the buffer gas and provide the feed amount to the droplet generator.

3. The laser generated plasma light source of claim 2, the gas recirculation system comprising:

at least one cryogenic chamber to separate the feed volume from a portion of the buffer gas; and

a distillation column to separate the feed amount from a remaining portion of the buffer gas.

4. The laser generated plasma light source of claim 3 wherein the portion of the buffer gas is provided to the plasma chamber.

5. The laser generated plasma light source of claim 1, wherein the buffer gas is helium or hydrogen.

6. The laser generated plasma light source of claim 1 wherein a window of the plasma chamber is at a distance of at least 10 centimeters from the plasma.

7. The laser generated plasma light source of claim 1 wherein each of the series of droplets of the feed material is less than 200 microns in diameter.

8. The laser generated plasma light source of claim 1, wherein the feed material is any of xenon, krypton, argon, neon, and nitrogen.

9. The laser generated plasma light source of claim 1 wherein the brightness of the plasma is greater than 1014Photon/(sec) · (mm)2)·(mrad2)。

10. The laser generated plasma light source of claim 1 wherein the spot size of the plasma is less than 10 microns.

11. The laser generated plasma light source of claim 1, further comprising:

a collector that concentrates an amount of illumination light emitted by the plasma and directs the amount of illumination light through a window of the plasma chamber.

12. A metrology system, comprising:

a laser-generated plasma light source, comprising:

a plasma chamber having at least one wall operable in part to contain a flow of a buffer gas within the plasma chamber;

a droplet generator that dispenses a series of droplets of a non-metallic feed material in a solid or liquid state into the plasma chamber;

a pulsed laser that generates an excitation light pulse directed to droplets of the feed material in the plasma chamber, the excitation light pulse having a duration of less than one nanosecond, wherein interaction of the excitation light pulse with the droplets of the feed material causes ionization of the droplets to form a plasma that emits illumination light, wherein the illumination light comprises broadband light in a spectral region from about 1 nanometer to about 20 nanometers;

a collector that concentrates the illumination light emitted by the plasma and directs the illumination light toward a sample under test;

an x-ray detector that detects the amount of light from the sample in response to the illumination light incident on the sample; and

a computing system configured to determine a value of a parameter of interest associated with a model of the sample based on the detected amount of light.

13. The metrology system of claim 12, wherein the metrology system is configured as any one of a reflection small angle x-ray scatterometry system, a coherent diffraction imaging system, and an imaging system.

14. The metering system of claim 12, further comprising:

an objective lens that concentrates and amplifies collected light emitted from the sample and directs the collected light to the detector in response to the illumination light incident to the sample.

15. The metrology system of claim 14, wherein the objective directs the illumination light to the sample, and wherein the illumination light and the collected light occupy spatially separated regions in a pupil plane of the objective.

16. The metrology system of claim 14, wherein the objective directs the illumination light to the sample, and wherein the illumination light and the collected light occupy spatially overlapping regions in a pupil plane of the objective.

17. The metering system of claim 14, further comprising:

at least one illumination optic that directs the illumination light from the collector to the sample, wherein a pupil plane of the objective lens contains only the collected light.

18. The metrology system of claim 12, wherein a spot size of the plasma is less than 10 microns.

19. A method, comprising:

dispensing a series of droplets of a non-metallic feed material in a solid or liquid state into a plasma chamber, the plasma chamber having at least one wall that is partially operable to contain a flow of a buffer gas within the plasma chamber;

generating an excitation light pulse directed to droplets of the feed material in the plasma chamber, the excitation light pulse having a duration of less than one nanosecond, wherein interaction of the excitation light pulse with the droplets of the feed material causes ionization of the droplets to form a plasma that emits illumination light, wherein the illumination light comprises broadband light in a spectral region from about 1 nanometer to about 20 nanometers, and wherein the illumination light is usable to illuminate a sample under test;

detecting an amount of light from the sample in response to the illumination light; and

determining a value for at least one parameter of interest of the test sample based on the detected light amount.

20. The method of claim 19, further comprising:

separating a feed amount from the buffer gas; and

providing the feed amount to the plasma chamber.

Technical Field

The described embodiments relate to x-ray laser generated plasma radiation sources and systems for x-ray metrology and inspection.

Background

Semiconductor devices, such as logic and memory devices, are typically manufactured through a series of processing steps applied to a substrate or wafer. Various features and multiple structural levels of the semiconductor device are formed through these processing steps. For example, photolithography is particularly one semiconductor manufacturing process that involves creating a pattern on a semiconductor wafer. Additional examples of semiconductor manufacturing processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices.

Metrology processes are used at each step during the semiconductor manufacturing process to detect defects on the wafer to facilitate higher yields. Several metrology-based techniques, including scatterometry and reflectometry implementations and associated analytical algorithms, are commonly used to characterize critical dimensions, overlay, film thickness, composition, and other parameters of nanoscale structures.

Traditionally, measurements are performed on targets consisting of thin films and/or repeating periodic structures. During device fabrication, these films and periodic structures typically represent the actual device geometry and material structure or intermediate design. As devices (e.g., logic and memory devices) move to smaller nanoscale dimensions, characterization becomes more difficult. Devices incorporating complex three-dimensional geometries and materials with diverse physical properties contribute to characterization difficulties. For example, modern memory structures are typically high aspect ratio, three-dimensional structures that make it difficult for optical radiation to penetrate to the underlying layer. Optical metrology tools utilizing infrared to visible light can penetrate many layers of translucent materials, but longer wavelengths that provide good penetration depths do not provide sufficient sensitivity to small anomalies. In addition, an increase in the number of parameters required to characterize a complex structure (e.g., FinFET) results in an increase in parameter dependence. Thus, the parameters that characterize the target often cannot be reliably decoupled from the available measurements.

In one example, opaque, high-k materials are increasingly used in modern semiconductor structures. Optical radiation is generally not able to penetrate layers composed of these materials. Therefore, measurements using thin film scatterometry tools (e.g., ellipsometers or reflectometers) are becoming increasingly challenging.

In response to these challenges, more sophisticated optical metrology tools have been developed. For example, tools have been developed with multiple illumination angles, shorter illumination wavelengths, wider illumination wavelength ranges, and more complete information acquisition from reflected signals (e.g., multiple mueller matrix elements are measured in addition to more conventional reflective or ellipsometric signals). However, these methods have not reliably overcome the basic challenges associated with the measurement and measurement applications (e.g., line edge roughness and line width roughness measurements) of many advanced targets (e.g., complex 3D structures, structures less than 10nm, structures employing opaque materials).

X-ray based metrology systems have shown promise to address challenging measurement applications. However, reliable soft X-ray illumination sources suitable for X-ray based metrology techniques such as reflection small angle X-ray scatterometry (SAXS), Coherent Diffraction Imaging (CDI), and other X-ray based imaging and overlay-based techniques are still under development.

In some other examples, the illumination light may be provided directly by a laser. One approach is to up-convert the longer wavelength source harmonics to shorter wavelengths. However, this approach has not yet produced a practical soft x-ray illumination source.

In some examples, the illumination light may be provided by a light source pumped by a continuous wavelength laser (e.g., a laser sustained plasma). A laser sustaining plasma is generated in a high pressure bulb surrounded by a working gas at a temperature below the laser plasma. Although significant emissivity improvement is obtained with laser sustained plasmas, the temperature of these plasmas is generally limited by the photophysical and kinetic processes within these lamps. Pure atomic and ionic emission in these plasmas is typically limited to wavelengths longer than 200 nm. Excimer emission can be arranged in a laser sustained plasma for wavelength emission of 171nm (e.g., xenon excimer emission), but these sources are typically narrow band, power limited and radiance limited. Excimer emission at 171nm is optimized at low pressure (e.g., 3 bar and below), and the power of the 171nm emission is greatly reduced at the higher pressures required for high radiance. Thus, a simple gas mixture in a high-pressure bulb can only maintain wavelength coverage above 200nm with sufficient radiance and average power to support high-throughput, high-resolution metrology. In some examples, solid electrode targets are employed, but low repetition rates, electrode erosion, and large plasma sizes result in low brightness and short lifetimes, limiting their effectiveness for x-ray based semiconductor metrology.

Development efforts in the field of Extreme Ultraviolet (EUV) lithography have focused on light sources that emit narrow band radiation centered at 13 nm (e.g., +/-0.1nm) at high power levels (e.g., 210 watts average power at the intermediate focus of the illuminator). Light sources for EUV lithography have been developed using a laser droplet plasma architecture. For example, xenon, tin and lithium droplet targets operating at a pulse repetition frequency of approximately 100kHz were pumped by a coherent source of CO 2. The realized light is high power (e.g., average power of 210 watts at the intermediate focus of the illuminator is the lithography tool target at 13 nanometers). However, the material comprising the semiconductor wafer exhibits little reflectivity for narrow band light of 13 nanometers.

Experiments have been performed to provide broadband, soft x-ray illumination from laser-generated plasmas based on gas jets. Additional details are described by Muller (Muller) et al, "Emission properties of ns and ps laser-induced soft x-ray source using pulsed gas jets" (Emission properties of ns and ps laser-induced soft-ray source using pulsed gas jets), "optical press (opt. express 21), pages 12831 to 12842 (2013), the entire contents of which are incorporated herein by reference. The use of gas jets results in large plasmas (e.g., several hundred microns). This large plasma spot size severely limits the effectiveness of this illumination source for practical semiconductor metrology applications.

It is desirable to have a broadband, soft X-ray illumination source that has the radiance and average power required for metrology applications.

Disclosure of Invention

Methods and systems for X-ray based semiconductor metrology with a broadband, soft X-ray illumination source are described herein. A laser-generated plasma (LPP) light source produces high brightness, broadband, soft x-ray illumination. The LPP light source directs a highly focused, short duration laser source to a non-metallic droplet target in a liquid or solid state. The interaction of the excitation light pulse with one or more droplets of the feed material causes the droplets to ionize to form a plasma that emits illumination light with very high brightness. In a preferred embodiment, the plasma brightness is greater than 1014Photon/(sec) · (mm)2)·(mrad2). The illumination light includes broadband light in a spectral region from about 1 nanometer to about 20 nanometers. Radiation from the plasma is collected by collection optics and directed to a sample under test.

In some embodiments, each droplet of the non-metallic feed has a diameter of less than 200 microns. In a preferred embodiment, each droplet of the non-metallic feed has a diameter of less than 40 microns. In some embodiments, the drop generator is a high frequency fluid dispenser based on commercially available inkjet technology. In one example, the drop generator dispenses a series of nominal 50 micron drops of feed at a rate of between 50 kilohertz and 400 kilohertz.

In a further aspect, the duration of each excitation light pulse is less than one nanosecond. In some embodiments, the duration of each excitation light pulse is less than 0.5 nanoseconds.

In another further aspect, the plasma chamber of the LPP light source is filled with a buffer gas. The buffer gas absorbs very little of the soft X-ray radiation generated by the plasma, but thermalizes the fast ions generated by the plasma. Thus, the buffer gas protects the optical elements of the LPP light source from contamination by plasma generated materials. In some embodiments, the distance between the plasma and the optical element of the LPP light source is at least ten centimeters. In a preferred embodiment, the flow of the buffer gas through the plasma chamber is maintained at a relatively low pressure (e.g., between 0.1 torr and 100 torr).

In another further aspect, the LPP light source includes a gas separation system that separates the feed from the buffer gas and provides the separated feed back to the droplet generator.

The foregoing is a summary and thus contains, by necessity, simplifications, generalizations, and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting. Other aspects, inventive features, and advantages of the devices and/or processes described herein, as defined solely by the claims, will become apparent in the non-limiting detailed description set forth herein.

Drawings

FIG. 1 is a simplified diagram illustrating a metrology system including a laser-generated plasma (LPP) light source in at least one novel aspect.

FIG. 2 is a simplified diagram illustrating a gas separation system in one embodiment.

FIG. 3 is a simplified diagram illustrating an exemplary model building and analysis engine.

FIG. 4 is a flow chart of a method of generating broadband, soft x-ray illumination of a semiconductor sample under test.

Detailed Description

Reference will now be made in detail to background examples and some embodiments of the invention, examples of which are illustrated in the accompanying drawings.

Methods and systems for X-ray based semiconductor metrology with a broadband, soft X-ray illumination source are described herein. More specifically, laser-generated plasma (LPP) light sources produce high brightness (i.e., greater than 10)13Photon/(sec mm)2·mrad2) Broadband soft x-ray illumination (i.e., including wavelengths in the range of 1 to 20 nanometers). To achieve this high brightness, the LPP light source will be highly focusedA short duration laser source is directed to a non-metallic droplet target in a liquid or solid state. The plasma is ignited via the interaction of the focused laser pulse with the droplet target. Radiation from the plasma is collected by collection optics and directed to a sample under test.

FIG. 1 depicts an x-ray based metrology system 100 including a laser generated plasma (LPP) light source 110 in at least one novel aspect. LPP light source 110 includes a plasma chamber 153, a drop generator 111, and a pulsed laser illumination source 113. The plasma chamber 153 includes one or more walls that contain a flow of buffer gas 123 within the plasma chamber. The droplet generator 111 dispenses a series of droplets of the non-metallic feed material 112 into the plasma chamber 153.

In one aspect, the droplets generated by droplet generator 111 are in a solid or liquid state in plasma chamber 153. In some embodiments, the non-metallic feed material is xenon, krypton, argon, neon, nitrogen, or any combination thereof. In some embodiments, each droplet of the non-metallic feed 112 is less than 200 microns in diameter. In a preferred embodiment, each droplet of the non-metallic feed material 112 is less than 40 microns in diameter. In some embodiments, the drop generator 111 is a high frequency fluid dispenser based on commercially available inkjet technology. In one example, drop generator 111 dispenses a series of nominal 50 micron drops of feed 112 at a rate of between 50 kilohertz and 400 kilohertz.

The pulsed laser 113 generates a series of excitation light pulses. Each excitation light pulse is directed to a droplet of the feed material 112. The stimulation light is focused by the illumination optics 114 onto the droplets in a very small spot size. In some embodiments, the stimulation light is focused onto the droplet with a spot size of less than 100 microns. In some embodiments, the stimulation light is focused onto the droplet with a spot size of less than 10 microns. In a preferred embodiment, the stimulation light is focused onto the droplet with a spot size of less than 5 microns. As the spot size of the excitation light decreases, the spot size of the induced plasma decreases. In a preferred embodiment, the spot size of plasma 115 is less than 10 microns. In some embodiments, the pulsed laser 113 is an ytterbium (Yb) based solid state laser. In some other embodiments, the pulsed laser 113 is a neodymium (Nb) based solid state laser.

In a further aspect, the duration of each excitation light pulse is less than one nanosecond. In some embodiments, the duration of each excitation light pulse is less than 0.5 nanoseconds.

The interaction of the excitation light pulse with the one or more droplets of the feed material causes the droplets to ionize to form a plasma 115 emitting illumination light 124 with very high brightness. In a preferred embodiment, the brightness of plasma 115 is greater than 1014Photon/(sec) · (mm)2)·(mrad2). The illumination light includes broadband light in a spectral region from about 1 nanometer to about 20 nanometers.

The illumination 124 is collected by the collector 118 and the illumination 124 is focused onto the sample 150 under test. In the embodiment depicted in fig. 1, collector 118 concentrates illumination light 124 emitted by plasma 115 and directs illumination light 124 through window 117. In some embodiments, the window 117 is an x-ray filter configured to be transparent to x-ray radiation within a wavelength range of interest (e.g., between 1 and 20 nanometers), and to substantially absorb radiation outside the wavelength range of interest.

The collector 120 may be any suitable shape to concentrate the illumination light generated from the plasma 115. Suitable examples include elliptical collectors and collectors having multiple surface profiles. Exemplary techniques for collecting light emitted from a plasma are described in U.S. patent No. 7,705,331 to KLA-Tencor Technologies Corp, 2010, 27 th, which is incorporated herein by reference in its entirety.

In the embodiment depicted in fig. 1, illumination light 124 exits plasma chamber 153 via window 117 and is redirected toward sample 150 by mirror 125. In addition, illumination optics 126 are employed to further shape the illumination light 124 incident on the sample 150 within the measurement region 129. Illumination optics 126 may include a hollow optical homogenizer or a reflective light pipe to efficiently transmit the illumination light to the sample. In some other embodiments, an illumination and collection objective may be employed. In these embodiments, illumination optics 126 transmit illumination light to the objective lens.

Illumination of the sample 150 within the measurement region 129 causes light to scatter from the sample 150. The scattered light 128 is detected by a detector 127. The detector 127 produces a signal 151 indicative of the scattered light incident on the active area of the detector. Detector 127 communicates signal 151 to computing system 130 for analysis. The computing system 130 determines a property of the sample 150 based at least in part on the acquired signal 151.

In another further aspect, the computing system 130 is configured to generate a structural model (e.g., a geometric model, a material model, or a combined geometric and material model) of the measured structure of the sample, generate an x-ray scatterometry response model that includes at least one geometric parameter from the structural model, and resolve at least one sample parameter value by performing a fitting analysis of the x-ray scatterometry measurement data with the x-ray scatterometry response model. The analysis engine is used to compare the simulated x-ray scatterometry signals with the measured data, thereby allowing determination of geometry as well as material properties, such as electron density of the sample. In the embodiment depicted in fig. 1, computing system 130 is configured as a model building and analysis engine configured to implement model building and analysis functions as described herein.

FIG. 7 is a diagram illustrating an exemplary model building and analysis engine 101 implemented by computing system 130. As depicted in fig. 7, the model building and analysis engine 101 includes a structure model building module 102 that generates a structure model 103 of the measured structure of the sample. In some embodiments, the structural model 103 further comprises material properties of the sample. The structural model 103 is received as an input to an x-ray scatterometry response function building module 104. The x-ray scatterometry response function building module 104 generates a full beam x-ray scatterometry response function model 105 based at least in part on the structure model 103. In some instances, the x-ray scatterometry response function model 105 is based on an x-ray form factor,

where F is the shape factor, q is the scattering vector, and ρ (r) is the electron density of the sample in spherical coordinates as described in equation (1). The x-ray scatter intensity is then given by equation (2).

An x-ray scatterometry response function model 105 is received as an input to a fitting analysis module 106. The fit analysis module 106 compares the modeled x-ray scatterometry response to the corresponding measured data to determine the geometry and material properties of the sample.

In some examples, fitting modeled data to experimental data is achieved by minimizing the chi-squared value. For example, for x-ray scatterometry measurements, the chi-squared value may be defined as

Wherein the content of the first and second substances,is the measured x-ray scatterometry signal 151 in "channel" j, where the index j describes a set of system parameters, such as diffraction orders, energies, angular coordinates, and the like.Is directed to a set of structural (target) parameters v1,…,vLModeled x-ray scatterometry signal S of evaluated "channel" jjWhere these parameters describe geometry (CD, sidewall angle, overlap, etc.) and material (electron density, etc.). SigmaSAXS,jIs the uncertainty associated with the jth channel. N is a radical ofSAXSIs the total number of channels in x-ray metrology. L is the number of parameters characterizing the metrology target.

Equation (3) assumes that the uncertainties associated with the different channels are uncorrelated. In instances where uncertainties associated with different channels are correlated, a covariance between the uncertainties may be calculated. In these examples, the chi-squared value of a full beam x-ray scatterometry measurement may be expressed as

Wherein, VSAXSIs the covariance matrix of SAXS channel uncertainty and T denotes the transpose.

In some examples, the fit analysis module 106 resolves the at least one sample parameter value 152 by performing a fit analysis on the x-ray scatterometry measurement data 151 using the x-ray scatterometry response model 105. In some examples, optimizationIn the embodiment depicted in fig. 1, the determined value 152 is stored in memory 190.

As described hereinbefore, the fitting of the x-ray scatterometry data is achieved by minimizing the chi-squared value. In general, however, the fitting of the full beam x-ray scatterometry data may be accomplished by other functions.

Fitting of x-ray scatterometry metrology data may be advantageous for any type of x-ray scatterometry technique that provides sensitivity to geometric and/or material parameters of interest. The sample parameters may be deterministic (e.g., CD, SWA, overlay, etc.) or statistical (e.g., root mean square height of sidewall roughness, roughness correlation length, etc.) so long as an appropriate model describing the interaction of the x-ray scatterometry beam with the sample is used.

In general, the computing system 130 is configured to access the model parameters in real time using real time critical dimension labeling (RTCD), or it may access a pre-computed model library to determine the value of at least one sample parameter value associated with the sample 150. In general, some form of CD engine may be used to evaluate the difference between a specified CD parameter of a sample and a CD parameter associated with a measured sample. Exemplary methods and systems for calculating sample parameter values are described in U.S. patent No. 7,826,071 to KLA-Tencor Corp, 11/2/2010, which is incorporated herein by reference in its entirety.

In some examples, model building and analysis engine 101 improves the accuracy of the measured parameters through any combination of side-fed analysis, feed-forward analysis, and parallel analysis. Side-fed analysis refers to taking multiple data sets on different areas of the same sample and passing a common parameter determined from a first data set onto a second data set for analysis. Feed forward analysis refers to taking data sets on different samples and forward passing the common parameters to subsequent analysis using a step-by-step replication exact parameter feed forward approach. Parallel analysis refers to the application of a non-linear fitting method to multiple data sets in parallel or simultaneously, with at least one common parameter coupled during fitting.

Multi-tool and structural analysis refers to feed-forward, side-fed, or parallel analysis based on regression, look-up tables (i.e., "library" matching), or another fitting process of multiple data sets. Exemplary methods and systems for multi-tool and structural analysis are described in U.S. patent No. 7,478,019 issued to coll, 13/2009, which is incorporated herein by reference in its entirety.

In one further aspect, the metrology tool 100 includes a computing system (e.g., computing system 130) configured to implement beam control functions as described herein. In the embodiment depicted in fig. 1, the computing system 130 is configured as a beam controller operable to control any of the illumination properties, such as the intensity, divergence, spot size, polarization, spectrum, and positioning of the incident illumination beam 124.

As illustrated in fig. 1, the computing system 130 is communicatively coupled to the detector 127. The computing system 130 is configured to receive measurement data 151 from the detector 127. In one example, measurement data 151 includes an indication of the measured response of the sample (i.e., the intensity of the diffraction orders). Based on the distribution of the measured response on the surface of the detector 127, the location and area of the illumination beam 124 incident on the sample 150 is determined by the computing system 130. In one example, pattern recognition techniques are applied by the computing system 130 to determine the location and area of the illumination beam 124 incident on the sample 150 based on the measurement data 151. In some examples, computing system 130 communicates command signals (not shown) to illumination optics 126 to select desired illumination wavelengths and to redirect and reshape illumination beam 124 such that incident illumination beam 124 reaches a desired position and angular orientation relative to sample 150. In some other examples, computing system 130 communicates command signals 137 to wafer positioning system 140 to position and orient sample 150 such that incident illumination beam 124 reaches a desired position and angular orientation relative to sample 150. In some other examples, computing system 130 communicates command signals 135 and 136 to LPP light source 110 to select a desired illumination wavelength and redirect and reshape illumination beam 124 such that incident illumination beam 124 reaches a desired position and angular orientation relative to sample 150.

In some embodiments, it may be desirable to perform measurements in different orientations. This increases the precision and accuracy of the measured parameters, and reduces the correlation among the parameters by expanding the number and diversity of data sets available for analysis to include a variety of large angle, out-of-plane orientations. Measuring sample parameters with a deeper, more diverse data set may also reduce correlation among parameters and improve measurement accuracy. For example, in a vertical orientation, x-ray scatterometry is able to resolve the critical dimensions of a feature, but is largely insensitive to the sidewall angle and height of the feature. However, by collecting measurement data over a wide range of out-of-plane angular positions, the sidewall angle and height of the features can be resolved.

As illustrated in fig. 1, the metrology tool 100 includes a sample positioning system 140, the sample positioning system 140 configured to both align the sample 150 and orient the sample 150 within a wide range of out-of-plane angular orientations relative to the scatterometer. In other words, sample positioning system 140 is configured to rotate sample 150 over a wide range of angles about one or more axes of rotation that are aligned in-plane with the surface of sample 150. In some embodiments, sample positioning system 140 is configured to rotate sample 150 over a range of at least 90 degrees about one or more rotational axes aligned in-plane with a surface of sample 150. In some embodiments, the sample positioning system is configured to rotate the sample 150 over a range of at least 60 degrees about one or more rotational axes aligned in-plane with the surface of the sample 150. In some other embodiments, sample positioning system 140 is configured to rotate sample 150 over a range of at least 1 degree about one or more rotational axes aligned in-plane with the surface of sample 101. In this manner, angle-resolved measurements of the sample 150 are collected by the metrology system 100 over any number of locations on the surface of the sample 150. In one example, the computing system 130 communicates command signals 137 indicative of the desired position of the sample 150 to the motion controller 145 of the sample positioning system 140. In response, motion controller 145 generates command signals to various actuators of sample positioning system 140 to achieve the desired positioning of sample 150.

By way of non-limiting example, as illustrated in fig. 1, the sample positioning system 140 includes an edge grip chuck 141 to fixedly attach the sample 150 to the sample positioning system 140. The rotary actuator 142 is configured to rotate the edge grip chuck 141 and attached specimen 150 relative to the perimeter frame 143. In the depicted embodiment, the rotary actuator 142 is configured to rotate the sample 150 about an x-axis with coordinates 146 illustrated in FIG. 1. As depicted in fig. 1, the rotation of the sample 150 about the z-axis is an in-plane rotation of the sample 150. The rotation about the x-axis and y-axis (not shown) is an out-of-plane rotation of the sample 150, which effectively tilts the surface of the sample relative to the metrology elements of the metrology system 100. Although not illustrated, the second rotary actuator is configured to rotate the sample 150 about the y-axis. The linear actuator 144 is configured to translate the perimeter frame 143 in the x-direction. Another linear actuator (not shown) is configured to translate the perimeter frame 143 in the y-direction. In this way, each location on the surface of the sample 150 can be used to make measurements over a range of out-of-plane angular positions. For example, in one embodiment, the position of the sample 150 is measured at several angular increments over a range of-45 degrees to +45 degrees relative to the vertical orientation of the sample 150.

In general, sample positioning system 140 may include any suitable combination of mechanical elements to achieve the desired linear and angular positioning performance, including but not limited to goniometer stages, hexapod stages (hexapod stages), angle stages, and linear stages.

In a further aspect, the plasma chamber 153 is filled with a buffer gas 123. The optical elements, such as the laser illumination window 116, collector 118, and x-ray filter 117, are sensitive to material deposition from the plasma 115. The buffer gas 123 absorbs very little of the soft X-ray radiation generated by the plasma, but thermalizes the fast ions generated by the plasma 115. In this way, the buffer gas 123 protects the illumination window 116, collector 118, and x-ray filter 117 from contamination by materials generated by the plasma 115. In some embodiments, the buffer gas 123 is helium, hydrogen, or a combination thereof. Both helium and hydrogen are transparent to soft x-ray radiation at the wavelengths of interest (i.e., wavelengths between 1 and 20 nanometers). In a preferred embodiment, helium is used as the buffer gas because it is inert and therefore inherently safer than hydrogen. In some embodiments, the distance between plasma 115 and the optical elements of plasma chamber 153 (e.g., windows 116 and 117 and collector 118) is at least ten centimeters. In a preferred embodiment, the flow of the buffer gas 123 through the plasma chamber 153 is maintained at a relatively low pressure (e.g., between 0.1 torr and 100 torr).

In another further aspect, the LPP light source 110 includes a gas separation system 120, the gas separation system 120 separating a feed (e.g., xenon) from a buffer gas (e.g., helium) and providing the separated feed back to the droplet generator. As depicted in fig. 1, the gas separation system 120 receives a flow of buffer gas 119 from the plasma chamber 153. The stream 119 includes both the buffer gas 123 and the non-metallic feed material 112 in a gaseous state (i.e., after heating by the plasma 115). The gas separation system 120 separates the non-metallic feed 112 from the buffer gas 123. The recycled non-metallic feed material 121 is delivered to the droplet generator 111 for reuse. In addition, the recycled buffer gas 122 is conveyed back to the plasma chamber 123.

FIG. 2 depicts a simplified illustration of the gas separation system 120 in one embodiment. As depicted in fig. 2, the gas separation system 120 includes a cryogenic chamber 160 and a distillation column 170. Valves 161 and 162 control the flow of gases into and out of the cryochamber 160. The computing system 130 controls the state of the valves 161 and 162 via command signals 138 and 139, respectively. In one example, the computing system 130 communicates a command signal 138 that causes the valve 161 to open and allow the unseparated gas from the gas stream 119 to fill the cryogenic chamber 160. The unseparated gas is condensed until the non-metallic feed freezes and separates from the buffer gas which remains in gaseous form. Separated buffer gas 164 is withdrawn from cryogenic chamber 160. After withdrawing the separated buffer gas 164, the separated feed 163 is heated to a gaseous state within the low temperature chamber 160. The separated feed 163 is then withdrawn from the cold box 160 into the distillation column 170. The computing system 130 communicates a command signal 139 that causes the valve 162 to open and allow the separated feed 163 from the cryogenic chamber 160 to the distillation column 170. The separated feed 163 is again condensed within the distillation column 170. Distillation column 170 maintains a temperature gradient from the top of the column (e.g., hotter) to the bottom of the column (e.g., cooler). As the separated feed 163 is cooled, it condenses to a liquid state and precipitates at the bottom of the distillation column 170. Condensed feed 121 is discharged from distillation column 170 and condensed feed 121 is conveyed to droplet generator 111. Further, the residual buffer gas 171 is recovered from the distillation column 170. In the depicted embodiment, the separated buffer gas 164 and the residual buffer gas 171 are conveyed to a refining system 165 to further increase the purity of the recovered buffer gas prior to conveyance to the plasma chamber 153. Generally, the refining system 165 is optional. In some embodiments, the separated buffer gas 164 and the residual buffer gas 171 are sufficiently pure and require no additional processing prior to reintroduction into the plasma chamber 153. In some other embodiments, the buffer gas is not reused; instead, the recycled buffer gas 164 and the residual buffer gas 171 are discarded and no longer introduced into the plasma chamber 153.

Generally, the gas separation system 120 may include a plurality of cryogenic chambers. Additional valves may be employed to control the flow of gas through each cryogenic chamber. For example, when one cryogenic chamber is condensing an incoming gas stream, another cryogenic chamber may heat the chilled feed to deliver the feed to the distillation column 170.

As depicted in fig. 1, the system 100 includes a single laser focused directly on the droplet to generate the plasma 115. However, the system 100 may include more than one laser, with each laser configured differently or identically. For example, the lasers may be configured to generate light having different characteristics that may be directed to the droplets at the same or different times. In another example, the laser may be configured to direct light to the droplets from the same or different directions. Exemplary techniques for directing excitation light to a target are described in the aforementioned U.S. patent No. 7,705,331, which is incorporated herein by reference in its entirety.

FIG. 1 depicts a reflection small angle x-ray scatterometry (SAXS) system in one embodiment. However, other x-ray based metrology systems employing LPP light sources as described herein are contemplated within the scope of this patent document. In some examples, a Coherent Diffraction Imaging (CDI) -based metrology system includes an LPP light source as described herein. In other examples, the LPP light source may be used as part of an imaging x-ray metrology system.

In some embodiments, the imaging objective directs the collected light to a detector. In some embodiments, illumination light generated by light source 110 is transmitted to the objective lens by illumination optics 126. In some embodiments, the illumination optics 126 and the imaging objective may consist essentially of the same elements and be substantially the same. In some other embodiments, the illumination light generated by light source 110 is transmitted directly to sample 150 by illumination optics 126, rather than being first directed through elements of an imaging objective. In response to the illumination light incident on the sample 150, light from the sample 150 is collected, amplified, and directed by the imaging objective to the detector.

In some embodiments, an imaging objective designed with a sufficient field of view is employed. The optical path through the objective lens should preferably contain a minimum number of interactions with the reflective surface to minimize the absorption loss associated with each interaction. An exemplary design of an objective lens with total reflection elements using a four-mirror, four-way design is described in U.S. patent No. 7,351,980 issued to kojie technologies, 4/1/2008, which is incorporated herein by reference in its entirety. Additionally, an exemplary design of an objective lens with total reflection components using a four-mirror, six-pass design is described in U.S. patent publication No. 2010/0188738a1, which is incorporated herein by reference in its entirety.

The direction of illumination affects how a metrology system (e.g., metrology system 100) resolves structures on a wafer. In some embodiments, the discussed optical configurations may have non-uniform optical properties in one or more of the reflective elements that are specifically optimized for illumination purposes. For example, due to high exposure energy in the illumination path, the coating can be optimized to increase coating durability.

FIG. 7 illustrates a method 200 suitable for generating broadband, soft x-ray illumination for x-ray based metrology, in accordance with at least one inventive aspect. It is recognized that the data processing elements of method 200 may be carried out via pre-programmed algorithms stored as part of program instructions 134 and executed by one or more processors of computing system 130. While the following description is presented in the context of the system 100 depicted in fig. 1, it should be recognized herein that the particular structural aspects of the system 100 are not meant to be limiting and should be construed as illustrative only.

In block 201, a series of droplets of a non-metallic feed material in a solid or liquid state is dispensed into a plasma chamber. The plasma chamber includes at least one wall to contain a flow of buffer gas within the plasma chamber.

In block 202, an excitation light pulse is generated and directed to droplets of a feed material in a plasma chamber. The excitation light pulse has a duration of less than one nanosecond. The interaction of the excitation light pulses with the droplets of the feed material causes the droplets to ionize to form a plasma that emits illumination light. The illumination light includes broadband light in a spectral region from about 1 nanometer to about 20 nanometers and can be used to illuminate a sample under test.

In block 203, a quantity of light from the sample is detected in response to the illumination light.

In block 204, a value for at least one parameter of interest of the measured sample is determined based on the detected light quantity.

It should be recognized that each of the steps described throughout the present invention may be performed by a single computer system 130 or, alternatively, multiple computer systems 130. Moreover, the different subsystems of the system 100 (e.g., the sample positioning system 140, the gas separation system 120, the droplet generator 111, the laser 113, and the detector 127) may include a computer system adapted to perform at least a portion of the steps described herein. Accordingly, the foregoing description should not be construed as limiting the present invention, but merely as illustrative thereof. Further, the one or more computing systems 130 may be configured to perform any other steps of any of the method embodiments described herein.

In addition, computer system 130 may be communicatively coupled to sample positioning system 140, gas separation system 120, droplet generator 111, laser 113, and detector 127 in any manner known in the art. For example, the one or more computing systems 130 may be coupled to computing systems associated with the sample positioning system 140, the gas separation system 120, the droplet generator 111, the laser 113, and the detector 127, respectively. In another example, any of sample positioning system 140, gas separation system 120, droplet generator 111, laser 113, and detector 127 may be controlled directly by a single computer system coupled to computer system 130.

The computer system 130 of the system 100 may be configured to receive and/or acquire data or information from subsystems of the system (e.g., the sample positioning system 140, the gas separation system 120, the droplet generator 111, the laser 113 and the detector 127, and the like) over a transmission medium that may include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between computer system 130 and other subsystems of system 100.

The computer system 130 of the system 100 may be configured to receive and/or obtain data or information (e.g., modeling inputs, modeling results, etc.) from other systems over a transmission medium that may include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other systems (e.g., the memory on-board system 100, external memory, or external systems). For example, computing system 130 may be configured to receive measurement data (e.g., signals 151) from a storage medium (i.e., memory 132) via a data link. For example, measurements obtained using detector 127 may be stored in a permanent or semi-permanent memory device (e.g., memory 132). In this regard, the measurement results may be imported from on-board memory or from an external memory system. Further, computer system 130 may send data to other systems via a transmission medium. For example, parameter values 152 determined by computer system 130 may be stored in a permanent or semi-permanent memory device (e.g., memory 190). In this regard, the measurement may be exported to another system.

Computing system 130 may include, but is not limited to, a personal computer system, a mainframe computer system, a workstation, a graphics computer, a parallel processor, or any other device known in the art. In general, the term "computing system" may be broadly defined to encompass any device having one or more processors that execute instructions from a memory medium.

18页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:发光模块

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类