Cloud game self-adaptive synchronization mechanism

文档序号:177541 发布日期:2021-11-02 浏览:253次 中文

阅读说明:本技术 云游戏自适应同步机制 (Cloud game self-adaptive synchronization mechanism ) 是由 P·萨米尔卡拉蒂尔 于 2020-12-21 设计创作,主要内容包括:本发明的标题为“云游戏自适应同步机制”。公开了一种用于促进处理云游戏数据的设备。该设备包括一个或多个处理器,用于接收游戏视频数据的多个帧,渲染所述多个帧以具有可变帧速率,将所述多个帧编码为经编码的游戏数据,以及将所述经编码的游戏数据传送到客户端计算装置。(The invention provides a cloud game self-adaptive synchronization mechanism. An apparatus for facilitating processing cloud gaming data is disclosed. The apparatus includes one or more processors to receive a plurality of frames of game video data, render the plurality of frames to have a variable frame rate, encode the plurality of frames into encoded game data, and transmit the encoded game data to a client computing device.)

1. An apparatus for facilitating processing cloud gaming data, comprising:

one or more processors to receive a plurality of frames of game video data, render the plurality of frames to have a variable frame rate, encode the plurality of frames into encoded game data, and transmit the encoded game data to a client computing device.

2. The device of claim 1, wherein encoding the plurality of frames comprises encoding each of the plurality of frames with a presentation timestamp.

3. The apparatus of claim 2, wherein the one or more processors receive an adaptive refresh rate range for a display device at the client computing device.

4. The apparatus of claim 3, wherein the adaptive refresh rate range of the display device is used to render the plurality of frames at the variable frame rate.

5. The apparatus of claim 4, wherein rendering the plurality of frames at the variable frame rate using the adaptive refresh rate range eliminates frames that cannot be displayed at the client computing device.

6. The apparatus of claim 5, wherein the variable frame rate comprises a variable frame per second rate.

7. An apparatus for facilitating processing cloud gaming data, comprising:

one or more processors to receive encoded game data from a server computing device, decode the game data into a plurality of frames of game video data having a variable frame rate, and render the plurality of frames into game video data, including performing an adaptive synchronous flipping operation using the variable frame rate.

8. The device of claim 7, wherein the decoded game data further comprises a plurality of time stamps.

9. The device of claim 8, wherein each of the plurality of timestamps is associated with a frame of the plurality of frames.

10. The apparatus of claim 9, wherein the one or more processors display the game video data at a display device.

11. The apparatus of claim 10, wherein the game data is displayed according to an adaptive synchronization capability of the display device.

12. A method for facilitating processing cloud gaming data, comprising:

receiving encoded game data from a server computing device;

decoding the game data into a plurality of frames of game video data having a variable frame rate; and

rendering the plurality of frames as game video data, including performing an adaptive synchronous flipping operation using the variable frame rate.

13. The method of claim 12, wherein the decoded game data further comprises a plurality of time stamps.

14. The method of claim 13, wherein each of the plurality of timestamps is associated with a frame of the plurality of frames.

15. The method of claim 14, further comprising displaying the game video data at a display device according to an adaptive synchronization capability of the display device.

16. At least one computer-readable medium having instructions that, when executed by one or more processors, cause the processors to perform the method of claims 12-15.

17. A system comprising a mechanism to implement or perform a method according to any of claims 12-15.

18. An apparatus comprising means for performing the method of any of claims 12-15.

19. A computing device arranged to implement or perform a method according to any of claims 12-15.

20. A communication device arranged to implement or perform a method according to any one of claims 12-15.

Background

Cloud gaming is a gaming technology in which a game is stored, executed, and rendered on a Graphics Processing Unit (GPU) at a cloud server while the rendered scene is encoded and streamed over the internet to a client device for access by a user. Adaptive synchronization (or adaptive synchronization) is a technique to support variable refresh rates at a display device. Thus, adaptive synchronization provides the ability to dynamically adjust the vertical refresh rate of the display device to the frame rate of the GPU in order to facilitate eliminating tearing (tearing) and stuttering (stuttering) caused by misalignment of the content frame rate. Currently, cloud gaming solutions do not enable such adaptive synchronization capabilities.

Drawings

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 is a block diagram of a processing system according to an embodiment;

2A-2D illustrate a computing system and graphics processor provided by embodiments described herein;

3A-3C illustrate block diagrams of additional graphics processor and compute accelerator architectures provided by embodiments;

FIG. 4 is a block diagram of a graphics processing engine of a graphics processor, according to some embodiments;

5A-5B illustrate thread execution logic 500 including an array of processing elements employed in a graphics processor core, according to an embodiment;

FIG. 6 illustrates an additional execution unit 600 according to an embodiment;

FIG. 7 is a block diagram illustrating a graphics processor instruction format, according to some embodiments;

FIG. 8 is a block diagram of a graphics processor according to another embodiment;

FIGS. 9A and 9B illustrate graphics processor command formats and command sequences according to some embodiments;

FIG. 10 illustrates an exemplary graphical software architecture of a data processing system according to some embodiments;

11A-11D illustrate an integrated circuit package assembly according to an embodiment;

FIG. 12 is a block diagram illustrating an exemplary system-on-chip integrated circuit, according to an embodiment;

FIGS. 13A and 13B are block diagrams illustrating additional exemplary graphics processors;

FIG. 14 illustrates one embodiment of a computing device;

15A and 15B illustrate embodiments of client and server computing devices;

FIG. 16 illustrates one embodiment of a vertical blanking interval technique;

FIG. 17 is a flow diagram illustrating one embodiment of a process for performing cloud game adaptive synchronization; and

FIG. 18 illustrates another embodiment of a process for performing cloud game adaptive synchronization.

Detailed Description

In the following description, numerous specific details are set forth in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without one or more of these specific details. In other instances, well-known features have not been described in order to avoid obscuring the invention.

In an embodiment, a server processing a cloud gaming application receives an adaptive refresh rate range for a display device at a client computing device. In response, the server renders frames of game data using an adaptive refresh rate range according to a variable frame rate before encoding the frames. In further embodiments, each of the frames is encoded with a presentation timestamp prior to transmission to the client computing device. In yet another embodiment, the variable frame rate is used by the client computing device to perform an adaptive sync flip operation to display frames at the display device according to the adaptive sync capabilities of the display device.

Overview of the System

Fig. 1 is a block diagram of a processing system 100 according to an embodiment. The system 100 may be used in a single-processor desktop computer system, a multi-processor workstation system, or a server system having a large number of processors 102 or processor cores 107. In one embodiment, the system 100 is a processing platform incorporated within a system on a chip (SoC) integrated circuit for use in a mobile, handheld, or embedded device, such as within an internet of things (IoT) device with wired or wireless connectivity to a local or wide area network.

In one embodiment, the system 100 may include, be coupled with, or integrated within: a server-based game platform; a game console, including a game and media console, a mobile game console, a handheld game console, or an online game console. In some embodiments, system 100 is part of: a mobile phone, a smart phone, a tablet computing device or a mobile internet connected device such as a laptop computer with low internal storage capacity. The processing system 100 may also include, be coupled with, or be integrated within: wearable devices, such as smart watch wearable devices; smart glasses (smart eyewear) or apparel that is augmented with Augmented Reality (AR) or Virtual Reality (VR) features to provide visual, audio, or haptic output to supplement a real-world visual, audio, or haptic experience or otherwise provide text, audio, graphics, video, holographic images or video, or haptic feedback; other Augmented Reality (AR) devices; or other Virtual Reality (VR) device. In some embodiments, the processing system 100 comprises or is part of a television or set-top box device. In one embodiment, the system 100 may include, be coupled with, or integrated within: an autonomous vehicle such as a bus, tractor-trailer, automobile, motorcycle or electric bicycle, airplane or glider (or any combination thereof). An autonomous vehicle may use the system 100 to process the environment sensed around the vehicle.

In some embodiments, the one or more processors 102 each include one or more processor cores 107 to process instructions that, when executed, perform operations for system or user software. In some embodiments, at least one of the one or more processor cores 107 is configured to process a particular instruction set 109. In some embodiments, the instruction set 109 may facilitate Complex Instruction Set Computing (CISC), Reduced Instruction Set Computing (RISC), or computing via Very Long Instruction Words (VLIW). One or more processor cores 107 may process different instruction sets 109, which instruction sets 109 may include instructions for facilitating emulation of other instruction sets. Processor core 107 may also include other processing devices, such as a Digital Signal Processor (DSP).

In some embodiments, the processor 102 includes a cache memory 104. Depending on the architecture, the processor 102 may have a single internal cache or multiple levels of internal cache. In some embodiments, the cache memory is shared among various components of the processor 102. In some embodiments, the processor 102 also uses an external cache (e.g., a level 3 (L3) cache or Last Level Cache (LLC)) (not shown), which may be shared among the processor cores 107 using known cache coherency techniques. The register file 106 may additionally be included in the processor 102 and may include different types of registers for storing different types of data (e.g., integer registers, floating point registers, status registers, and instruction pointer registers). Some registers may be general purpose registers, while other registers may be specific to the design of the processor 102.

In some embodiments, one or more processors 102 are coupled with one or more interface buses 110 to transmit communication signals, such as address, data, or control signals, between the processors 102 and other components in the system 100. Interface bus 110 may be a processor bus in one embodiment, such as a version of a Direct Media Interface (DMI) bus. However, the processor bus is not limited to a DMI bus, and may include one or more Peripheral Component Interconnect (PCI) buses (e.g., PCI express), memory buses, or other types of interface buses. In one embodiment, the processor(s) 102 include an integrated memory controller 116 and platform controller hub 130. The memory controller 116 facilitates communication between the memory devices and other components of the system 100, while the Platform Controller Hub (PCH) 130 provides a connection to I/O devices via a local I/O bus.

Memory device 120 may be a Dynamic Random Access Memory (DRAM) device, a Static Random Access Memory (SRAM) device, a flash memory device, a phase change memory device, or some other memory device having suitable performance to act as a process memory. In one embodiment, memory device 120 may operate as system memory for system 100 to store data 122 and instructions 121 for use when one or more processors 102 execute an application or process. The memory controller 116 is also coupled with an optional external graphics processor 118, which external graphics processor 118 may communicate with one or more graphics processors 108 in the processor 102 to perform graphics and media operations. In some embodiments, graphics, media, and/or computing operations may be assisted by an accelerator 112, which accelerator 112 is a coprocessor that may be configured to perform a specialized set of graphics, media, or computing operations. For example, in one embodiment, the accelerator 112 is a matrix multiplication accelerator for optimizing machine learning or computational operations. In one embodiment, the accelerator 112 is a ray tracing accelerator that may be used to perform ray tracing operations in conjunction with the graphics processor 108. In one embodiment, an external accelerator 119 may be used in place of accelerator 112 or in conjunction with accelerator 112.

In some embodiments, a display device 111 may be connected to the processor(s) 102. The display device 111 may be one or more of an internal display device as in a mobile electronic device or laptop device or an external display device attached via a display interface (e.g., DisplayPort, etc.). In one embodiment, display device 111 may be a Head Mounted Display (HMD), such as a stereoscopic display device for use in a Virtual Reality (VR) application or an Augmented Reality (AR) application.

In some embodiments, the platform controller hub 130 enables peripherals to be connected to the memory devices 120 and the processor 102 via a high speed I/O bus. The I/O peripherals include, but are not limited to, an audio controller 146, a network controller 134, a firmware interface 128, a wireless transceiver 126, a touch sensor 125, a data storage 124 (e.g., non-volatile memory, hard drive, flash memory, NAND, 3D XPoint, etc.). The data storage device 124 may be connected via a storage interface (e.g., SATA) or via a peripheral bus such as a peripheral component interconnect bus (e.g., PCI express). The touch sensor 125 may include a touch screen sensor, a pressure sensor, or a fingerprint sensor. The wireless transceiver 126 may be a Wi-Fi transceiver, a bluetooth transceiver, or a mobile network transceiver such as a 3G, 4G, 5G, or Long Term Evolution (LTE) transceiver. The firmware interface 128 enables communication with system firmware and may be, for example, a Unified Extensible Firmware Interface (UEFI). Network controller 134 may be capable of making a network connection to a wired network. In some embodiments, a high performance network controller (not shown) is coupled to interface bus 110. In one embodiment, audio controller 146 is a multi-channel high definition audio controller. In one embodiment, the system 100 includes an optional legacy I/O controller 140 for coupling legacy (e.g., personal System 2 (PS/2)) devices to the system. The platform controller hub 130 may also be connected to one or more Universal Serial Bus (USB) controllers 142 for connecting input devices, such as a keyboard and mouse 143 combination, a camera 144, or other USB input devices.

It will be appreciated that the illustrated system 100 is exemplary and not limiting, as other types of data processing systems configured in different ways may also be used. For example, the instances of the memory controller 116 and the platform controller hub 130 may be integrated into a separate external graphics processor (such as the external graphics processor 118). In one embodiment, the platform controller hub 130 and/or the memory controller 116 may be external to the one or more processors 102. For example, the system 100 may include an external memory controller 116 and a platform controller hub 130, which may be configured as a memory controller hub and a peripheral controller hub within a system chipset in communication with the processor(s) 102.

For example, a circuit board ("sled") may be used) on which components such as a CPU, memory, and other components are placed, which is designed for increased thermal performance. In some embodiments, a processing component, such as a processor, is located on the top side of the sled, while a near memory, such as a DIMM, is located on the bottom side of the sled. As a result of the enhanced airflow provided by this design, the assembly may operate at higher frequencies and power levels than in typical systems, thereby increasing performance. Further, the skillets are configured to blindly mate with the power and data communication cables in the racks, thereby enhancing their ability to be quickly removed, upgraded, reinstalled, and/or replaced. Similarly, various components located on the sled (such as processors, accelerators, memory, and data storage drives) are configured to be easily upgraded due to their increased spacing from one another. In an illustrative embodiment, a component additionally includes a hardware attestation feature to verify its authenticity.

A data center may utilize a single network architecture ("fabric") that supports multiple other network architectures including ethernet and full Path (Omni-Path). The sled can be coupled to the switch via optical fibers that provide higher bandwidth and lower time delay than typical twisted pair cables (e.g., category 5e, category 6, etc.). Due to the high bandwidth, low latency interconnect and network architecture, the data center may use physically disaggregated pool resources, such as memory, accelerators (e.g., GPUs, graphics accelerators, FPGAs, ASICs, neural networks, and/or artificial intelligence accelerators, etc.), and data storage drives, and provide them to computing resources (e.g., processors) on an as-needed basis, so that the computing resources can access pooled resources as if the pooled resources were local.

The power supply or power source may provide voltage and/or current to the system 100 or any component or system described herein. In one example, the power supply includes an AC to DC (alternating current to direct current) adapter for plugging into a wall outlet. Such AC power may be a renewable energy (e.g., solar) power source. In one example, the power supply comprises a DC power supply, such as an external AC to DC converter. In one example, the power source or power supply includes wireless charging hardware to charge via a proximity charging field. In one example, the power source may include an internal battery, an ac supply, a motion-based power supply, a solar supply, or a fuel cell source.

2A-2D illustrate a computing system and graphics processor provided by embodiments described herein. The elements of fig. 2A-2D having the same reference numbers (or names) as the elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such.

FIG. 2A is a block diagram of an embodiment of a processor 200 having one or more processor cores 202A-202N, an integrated memory controller 214, and an integrated graphics processor 208. Processor 200 may include additional cores up to and including additional core 202N, represented by a dashed box. Each of the processor cores 202A-202N includes one or more internal cache units 204A-204N. In some embodiments, each processor core may also access one or more shared cache units 206. Internal cache units 204A-204N and shared cache unit 206 represent cache levels within processor 200. The cache memory hierarchy may include at least one level of instruction and data cache within each processor core, as well as one or more levels of shared mid-level cache, such as a level 2 (L2), level 3 (L3), level 4 (L4), or other levels of cache, with the highest level of cache preceding external memory being classified as an LLC. In some embodiments, cache coherency logic maintains coherency between the various cache units 206 and 204A-204N.

In some embodiments, processor 200 may also include a set of one or more bus controller units 216 and a system agent core 210. One or more bus controller units 216 manage a set of peripheral buses, such as one or more PCI or PCI express buses. The system agent core 210 provides management functionality for various processor components. In some embodiments, the system proxy core 210 includes one or more integrated memory controllers 214 to manage access to various external memory devices (not shown).

In some embodiments, one or more of the processor cores 202A-202N include support for simultaneous multithreading. In such embodiments, the system proxy core 210 includes components for coordinating and operating the cores 202A-202N during processing of multiple threads. The system proxy core 210 may additionally include a Power Control Unit (PCU) that includes logic and components for regulating the power states of the processor cores 202A-202N and the graphics processor 208.

In some embodiments, the processor 200 additionally includes a graphics processor 208 for performing graphics processing operations. In some embodiments, the graphics processor 208 is coupled to a set of shared cache units 206 and a system proxy core 210 that includes one or more integrated memory controllers 214. In some embodiments, the system proxy core 210 also includes a display controller 211 for driving graphics processor output to one or more coupled displays. In some embodiments, the display controller 211 may also be a separate module coupled with the graphics processor via at least one interconnect, or may be integrated within the graphics processor 208.

In some embodiments, ring-based interconnect unit 212 is used to couple internal components of processor 200. However, alternative interconnect elements may be used, such as point-to-point interconnects, switched interconnects, or other techniques, including techniques known in the art. In some embodiments, the graphics processor 208 is coupled with the ring interconnect 212 via an I/O link 213.

The exemplary I/O link 213 represents at least one of a plurality of kinds of I/O interconnects, including on-package I/O interconnects that facilitate communication between various processor components and a high performance embedded memory module 218, such as an eDRAM module. In some embodiments, each of the processor cores 202A-202N and the graphics processor 208 may use the embedded memory block 218 as a shared last level cache.

In some embodiments, processor cores 202A-202N are homogeneous cores (homogenes cores) that execute the same instruction set architecture. In another embodiment, the processor cores 202A-202N are heterogeneous in Instruction Set Architecture (ISA), in which one or more of the processor cores 202A-202N execute a first instruction set and at least one of the other cores executes a subset of the first instruction set or a different instruction set. In one embodiment, the processor cores 202A-202N are heterogeneous in micro-architecture, with one or more cores having relatively higher power consumption coupled with one or more power cores having lower power consumption. In one embodiment, the processor cores 202A-202N are heterogeneous in computing power. Additionally, processor 200 may be implemented on one or more chips or as an SoC integrated circuit having the illustrated components, among other components.

Fig. 2B is a block diagram of hardware logic of graphics processor core 219 according to some embodiments described herein. Elements of fig. 2B having the same reference numbers (or names) as elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such. The graphics processor cores 219, sometimes referred to as core slices, may be one or more graphics cores within a modular graphics processor. Graphics processor core 219 is an example of one graphics core slice, and a graphics processor as described herein may contain multiple graphics core slices based on a target power and performance envelope (performance envelope). Each graphics processor core 219 may include a fixed function block 230 coupled with a plurality of sub-cores 221A-221F, also referred to as sub-slices, the plurality of sub-cores 221A-221F including modular blocks of general purpose and fixed function logic.

In some embodiments, the fixed function block 230 includes a geometry/fixed function pipeline 231, the geometry/fixed function pipeline 231 may be shared by all of the sub-cores in the graphics processor core 219, for example, in a lower performance/or lower power graphics processor implementation. In various embodiments, geometry/fixed function pipeline 231 includes a 3D fixed function pipeline (e.g., 3D pipeline 312 in fig. 3 and 4, described below), a video front end unit, a thread spawner (thread spawner), and a thread dispatcher (thread dispatcher), and a unified return buffer manager that manages a unified return buffer (e.g., unified return buffer 418 in fig. 4, described below).

In one embodiment, fixed function block 230 also includes a graphics SoC interface 232, a graphics microcontroller 233, and a media pipeline 234. Graphics SoC interface 232 provides an interface between graphics processor core 219 and other processor cores within the system-on-a-chip integrated circuit. The graphics microcontroller 233 is a programmable sub-processor that may be configured to manage various functions of the graphics processor core 219, including thread dispatch, scheduling, and preemption (pre-preemption). Media pipeline 234 (e.g., media pipeline 316 of fig. 3 and 4) includes logic to facilitate decoding, encoding, pre-processing, and/or post-processing of multimedia data including image and video data. Media pipeline 234 implements media operations via requests to compute or sample logic within sub-cores 221A-221F.

In one embodiment, SoC interface 232 enables graphics processor core 219 to communicate with a general purpose application processor core (e.g., CPU) and/or other components within the SoC including memory hierarchy elements such as shared last level cache, system RAM, and/or embedded on-chip or on-package DRAM. SoC interface 232 may also enable communication with fixed-function devices within the SoC (such as camera imaging pipelines), and enable the use of and/or implementation of global memory atoms that may be shared between graphics processor core 219 and CPUs within the SoC. The SoC interface 232 may also enable power management control for the graphics processor core 219 and may enable interfacing between the clock domain of the graphics core 219 and other clock domains within the SoC. In one embodiment, SoC interface 232 can implement a command buffer (command buffer) that receives commands and instructions from a command streamer (command stream) and a global thread dispatcher, the command buffer configured to provide commands and instructions to each of one or more graphics cores within a graphics processor. Commands and instructions may be dispatched to the media pipeline 234 when media operations are to be performed or to geometry and fixed function pipelines (e.g., geometry and fixed function pipeline 231, geometry and fixed function pipeline 237) when graphics processing operations are to be performed.

The graphics microcontroller 233 may be configured to perform various scheduling and management tasks for the graphics processor core 219. In one embodiment, the graphics microcontroller 233 may perform graphics and/or compute workload scheduling on various graphics parallel engines within the Execution Unit (EU) arrays 222A-222F, 224A-224F within the sub-cores 221A-221F. In this scheduling model, host software executing on a CPU core of an SoC that contains graphics processor core 219 may submit a workload to one of a plurality of graphics processor doorbells (graphics processor doorbells), which invokes scheduling operations on the appropriate graphics engine. The scheduling operation includes determining which workload to run next, submitting the workload to the command streamer, preempting an existing workload running on the engine, monitoring the progress of the workload, and notifying the host software when the workload is complete. In one embodiment, graphics microcontroller 233 may also facilitate a low power or idle state for graphics processor core 219, providing graphics processor core 219 with the ability to save and restore registers within graphics processor core 219 across low power state transitions independent of the operating system and/or graphics driver software on the system.

Graphics processor core 219 may have more or fewer sub-cores 221A-221F than shown, up to N modular sub-cores. For each set of N sub-cores, graphics processor core 219 may also include shared function logic 235, shared and/or cache memory 236, geometry/fixed function pipeline 237, and additional fixed function logic 238 for accelerating various graphics and computing processing operations. Shared function logic 235 may include logic units (e.g., samplers, math and/or inter-thread communication logic) associated with shared function logic 420 of fig. 4 that may be shared by every N subcores within graphics processor core 219. The shared and/or cache memory 236 may be a last level cache for a set of N sub-cores 221A-221F within the graphics processor core 219, and may also serve as a shared memory accessible by multiple sub-cores. A geometry/fixed function pipeline 237 may be included in place of the geometry/fixed function pipeline 231 within the fixed function block 230 and may contain the same or similar logic elements.

In one embodiment, graphics processor core 219 includes additional fixed function logic 238, which may include various fixed function acceleration logic for use by graphics processor core 219. In one embodiment, the additional fixed function logic 238 contains additional geometry pipelines for use in position-only shading. In position-only shading, there are two geometric pipelines: a full geometry pipeline within the geometry/fixed function pipelines 237, 231; and a culling pipeline (cu pipe), which is another geometric pipeline that may be included within the other fixed function logic 238. In one embodiment, the culling pipeline is a pruned version of a full geometry pipeline (trimmed down version). The full pipeline and the culling pipeline may execute different instances of the same application, each instance having a separate context. Location-only shading may hide long culling runs of discarded triangles so that shading can be completed earlier in some instances. For example and in one embodiment, the culling pipeline logic within the additional fixed function logic 238 may execute a position shader in parallel with the host application and generally generate critical results faster than a full pipeline, because the culling pipeline only fetches and colors the position attributes of the vertices, and does not perform rasterization and rendering of pixels to the frame buffer. The culling pipeline may use the generated key results to calculate visibility information for all triangles regardless of whether those triangles were culled. The full pipeline (which may be referred to as a replay pipeline in this example) may consume visibility information to skip culled triangles to color only visible triangles that are eventually passed to the rasterization stage.

In one embodiment, the additional fixed function logic 238 may also include machine learning acceleration logic, such as fixed function matrix multiplication logic, for implementation that includes optimization for machine learning training or reasoning.

Within each graphics sub-core 221A-221F is included a set of execution resources that may be used to perform graphics, media, and computational operations in response to requests by a graphics pipeline, media pipeline, or shader program. Graphics sub-cores 221A-221F include a plurality of EU arrays 222A-222F, 224A-224F, thread dispatch and inter-thread communication (TD/IC) logic 223A-223F, 3D (e.g., texture) samplers 225A-225F, media samplers 206A-206F, shader processors 227A-227F, and Shared Local Memories (SLMs) 228A-228F. The EU arrays 222A-222F, 224A-224F each include a plurality of execution units, which are general purpose graphics processing units capable of performing floating point and integer/fixed point logical operations for servicing graphics, media, or computational operations, including graphics, media, or compute shader programs. The TD/IC logic 223A-223F performs local thread dispatch and thread control operations for execution units within the sub-cores and facilitates communication between threads executing on the execution units of the sub-cores. 3-D samplers 225A-225F may read texture or other 3-D graphics related data into memory. The 3D sampler may read texture data differently based on the configured sample states and texture formats associated with a given texture. Media samplers 206A-206F may perform similar read operations based on the type and format associated with the media data. In one embodiment, each graphics sub-core 221A-221F may alternately contain unified 3D and media samplers. Threads executing on execution units within each of the sub-cores 221A-221F may utilize shared local memory 228A-228F within each sub-core to enable threads executing within a thread group to execute using a common pool of on-chip memory.

FIG. 2C illustrates a Graphics Processing Unit (GPU) 239 that contains a dedicated set of graphics processing resources arranged into multi-core groups 240A-240N. While details are provided for only a single multi-core group 240A, it will be appreciated that the other multi-core groups 240B-240N may be equipped with the same or similar set of graphics processing resources.

As shown, the multi-core group 240A may include a set of graphics cores 243, a set of tensor cores 244, and a set of ray trace cores 245. Scheduler/dispatcher 241 schedules and dispatches graphics threads for execution on the various cores 243, 244, 245. The set of register files 242 stores operand values used by the cores 243, 244, 245 when executing the graphics thread. These registers may include, for example, integer registers for storing integer values, floating point registers for storing floating point values, vector registers for storing packed data elements (integer and/or floating point data elements), and tile registers (tile registers) for storing tensor/matrix values. In one embodiment, the tile registers are implemented as a combined set of vector registers.

One or more combined level 1 (L1) cache and shared memory units 247 store graphics data, such as texture data, vertex data, pixel data, ray data, bounding volume data, and the like, locally within each multi-core group 240A. One or more texture units 247 may also be used to perform texture operations, such as texture mapping and sampling. A level 2 (L2) cache 253 shared by all or a subset of the multi-core groups 240A-240N stores graphics data and/or instructions for multiple concurrent graphics threads. As shown, the L2 cache 253 may be shared across multiple multi-core groups 240A-240N. The one or more memory controllers 248 couple the GPU 239 to memory 249, which memory 249 may be system memory (e.g., DRAM) and/or dedicated graphics memory (e.g., GDDR6 memory).

Input/output (I/O) circuitry 250 couples the GPU 239 to one or more I/O devices 252, such as Digital Signal Processors (DSPs), network controllers, or user input devices. An on-chip interconnect may be used to couple the I/O devices 252 to the GPU 239 and the memory 249. One or more I/O memory management units (IOMMU) 251 of I/O circuitry 250 couples I/O devices 252 directly to system memory 249. In one embodiment, IOMMU 251 manages multiple sets of page tables to map virtual addresses to physical addresses in system memory 249. In this embodiment, the I/O device 252, CPU(s) 246, and GPU(s) 239 may share the same virtual address space.

In one implementation, IOMMU 251 supports virtualization. In this case, it may manage a first set of page tables to map guest/graphics virtual addresses to guest/graphics physical addresses and a second set of page tables to map guest/graphics physical addresses to system/host physical addresses (e.g., within system memory 249). The base address of each of the first and second sets of page tables may be stored in a control register and swapped out upon a context switch (e.g., so that a new context is provided with access to the relevant set of page tables). Although not shown in FIG. 2C, each of the multi-core groups 240A-240N and/or cores 243, 244, 245 may include a Translation Lookaside Buffer (TLB) to cache guest virtual-to-guest physical translations, guest physical-to-host physical translations, and guest virtual-to-host physical translations.

In one embodiment, the CPU 246, GPU 239, and I/O devices 252 are integrated on a single semiconductor chip and/or chip package. The memory 249 shown may be integrated on the same chip or may be coupled to the memory controller 248 via an off-chip interface. In one implementation, memory 249 comprises GDDR6 memory, which GDDR6 memory shares the same virtual address space as other physical system-level memory, although the underlying principles of the invention are not limited to this particular implementation.

In one embodiment, the tensor core 244 includes a plurality of execution units specifically designed to perform matrix operations, which are the basic computation operations for performing deep learning operations. For example, simultaneous matrix multiplication operations may be used for neural network training and reasoning. The tensor core 244 may perform matrix processing using various operand precisions, including single precision floating point (e.g., 32 bits), half precision floating point (e.g., 16 bits), integer word (16 bits), byte (8 bits), and nibble (4 bits). In one embodiment, a neural network implementation extracts features of each rendered scene, potentially combining details from multiple frames, to construct a high quality final image.

In a deep learning implementation, the parallel matrix multiplication work may be scheduled for execution on the tensor core 244. Training of neural networks in particular requires a large number of matrix dot product operations. To process the inner product formula of an nx N x N matrix multiplication, the tensor kernel 244 may include at least N dot product processing elements. Before the start of matrix multiplication, a complete matrix is loaded into the tile register, and at least one column of the second matrix is loaded in each of the N cycles. Each cycle, there are N dot products processed.

Depending on the particular implementation, the matrix elements may be stored with different precisions, including 16-bit words, 8-bit bytes (e.g., INT 8), and 4-bit nibbles (e.g., INT 4). Different precision modes can be specified for the tensor core 244 to ensure that the most efficient precision is used for different workloads (e.g., inference workloads such as allowable quantization to bytes and nibbles).

In one embodiment, the ray tracing core 245 speeds up ray tracing operations for both real-time ray tracing and non-real-time ray tracing implementations. In particular, ray tracing core 245 includes ray traversal (ray traversal)/intersection circuitry to perform ray traversal using Bounding Volume Hierarchy (BVH) and identify intersections between primitives and rays enclosed within the BVH volume. Ray tracing core 245 may also include circuitry for performing depth testing and culling (e.g., using a Z-buffer or similar arrangement). In one implementation, the ray tracing kernel 245 performs traversal and intersection operations, at least a portion of which may be performed on the tensor kernel 244, in cooperation with the image denoising techniques described herein. For example, in one embodiment, the tensor kernel 244 implements a deep learning neural network to perform denoising of frames generated by the ray tracing kernel 245. However, CPU(s) 246, graphics kernel 243 and/or ray tracing kernel 245 may also implement all or a portion of a denoising and/or deep learning algorithm.

Additionally, as described above, a distributed approach to denoising may be employed where the GPU 239 is in a computing device coupled to other computing devices through a network or high speed interconnect. In this embodiment, interconnected computing devices share neural network learning/training data to improve the speed with which the overall system learning performs denoising for different types of image frames and/or different graphics applications.

In one embodiment, ray tracing core 245 handles all BVH traversals and ray-primitive intersections, thereby avoiding graphics core 243 overloading with thousands of instructions per ray. In one embodiment, each ray tracing core 245 includes a first set of specialized circuitry for performing bounding box tests (e.g., for traversal operations) and a second set of specialized circuitry for performing ray-triangle intersection tests (e.g., intersecting rays that have been traversed). Thus, in one embodiment, the multi-core group 240A may simply launch the ray probe, and the ray tracing core 245 independently performs ray traversals and intersections and returns hit (hit) data (e.g., hit, no hit, multiple hits, etc.) to the thread context. While the ray tracing core 245 performs traversal and intersection operations, the other cores 243, 244 are freed to perform other graphics or computational work.

In one embodiment, each ray tracing core 245 includes a traversal unit to perform BVH test operations and an intersection unit to perform ray-primitive intersection tests. The crossbar unit generates "hit", "no-hit", or "multiple-hit" responses, which the crossbar unit provides to the appropriate threads. During traversal and intersection operations, execution resources of other cores (e.g., graphics core 243 and tensor core 244) are freed to perform other forms of graphics work.

In one particular embodiment described below, a hybrid rasterization/ray tracing method is used in which work is distributed between graphics core 243 and ray tracing core 245.

In one embodiment, the ray trace core 245 (and/or other cores 243, 244) includes hardware support for a ray trace instruction set such as Microsoft's DirectX ray trace (DXR), which includes the DispatchRays command and ray-generate, closest-hit, any-hit, and miss (miss) shaders, which enable assigning a unique set of textures and shaders to each object. Another ray tracing platform that may be supported by ray tracing core 245, graphics core 243, and tensor core 244 is Vulkan 1.1.85. Note, however, that the underlying principles of the invention are not limited to any particular ray tracing ISA.

In general, the various cores 245, 244, 243 may support a ray trace instruction set that includes instructions/functions for ray generation, closest hits, any hits, ray-primitive intersections, per-primitive and hierarchical bounding box constructions, misses, accesses, and exceptions (exceptions). More particularly, one embodiment includes ray tracing instructions to perform the following functions:

ray generation-ray generation instructions may be executed for each pixel, sample, or other user-defined job assignment.

Closest hit-the closest hit instruction may be executed to locate the closest intersection point of the ray with a primitive within the scene.

Any hit-any hit instruction identifies multiple intersections between primitives and rays within the scene, potentially identifying a new closest intersection point.

The cross-cross instruction performs a ray-primitive cross test and outputs a result.

Per-primitive bounding box construction-this instruction builds a bounding box around a given primitive or group of primitives (e.g., when building a new BVH or other acceleration data structure).

Miss-indicating a ray missed a specified area of the scene or all geometry within the scene.

Visit-child volume (child volume) indicating that the ray will traverse.

Exceptions-include various types of exception handlers (e.g., invoked for various error conditions).

FIG. 2D is a block diagram of a General Purpose Graphics Processing Unit (GPGPU) 270 that may be configured as a graphics processor and/or a compute accelerator according to embodiments described herein. The GPGPU 270 may be interconnected with a host processor (e.g., one or more CPUs 246) and memories 271, 272 via one or more system and/or memory buses. In one embodiment, memory 271 is a system memory that may be shared with one or more CPUs 246, while memory 272 is a device memory dedicated to GPGPU 270. In one embodiment, components within device memory 272 and GPGPU 270 may be mapped into memory addresses accessible to one or more CPUs 246. Access to the memories 271 and 272 may be facilitated via the memory controller 268. In one embodiment, memory controller 268 includes an internal Direct Memory Access (DMA) controller 269 or may include logic to perform operations that would otherwise be performed by a DMA controller.

The GPGPU 270 includes a plurality of caches including an L2 cache 253, an L1 cache 254, an instruction cache 255, and a shared memory 256, at least a portion of which shared memory 256 may also be partitioned into caches. GPGPU 270 also includes a plurality of compute units 260A-260N. Each compute unit 260A-260N contains a set of vector registers 261, scalar registers 262, vector logic 263, and scalar logic 264. The compute units 260A-260N may also include a local shared memory 265 and a program counter 266. The compute units 260A-260N may be coupled with a constant cache 267, which constant cache 267 may be used to store constant data, which is data that will not change during the execution of kernel or shader programs executing on the GPGPU 270. In one embodiment, the constant cache 267 is a scalar data cache, and cached (cached) data may be fetched directly into the scalar registers 262.

During operation, one or more CPUs 246 can write commands into registers or memory in GPGPU 270 that have been mapped into an accessible address space. Command processor 257 may read commands from registers or memory and determine how those commands will be processed within GPGPU 270. Thread dispatcher 258 may then be used to dispatch threads to compute units 260A-260N to execute those commands. Each compute unit 260A-260N may execute threads independently of the other compute units. In addition, each of the compute units 260A-260N may be independently configured for conditional computation and may conditionally output the results of the computation to memory. Upon completion of the submitted command, command processor 257 may interrupt one or more CPUs 246.

3A-3C illustrate block diagrams of additional graphics processor and compute accelerator architectures provided by embodiments described herein. The elements of fig. 3A-3C having the same reference numbers (or names) as the elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such.

Fig. 3A is a block diagram of a graphics processor 300, which graphics processor 300 may be a discrete graphics processing unit, or may be a graphics processor integrated with multiple processing cores, or other semiconductor devices such as, but not limited to, memory devices or network interfaces. In some embodiments, the graphics processor communicates via a memory mapped I/O interface to registers on the graphics processor and with commands placed into processor memory. In some embodiments, graphics processor 300 includes a memory interface 314 for accessing memory. Memory interface 314 may be an interface to local memory, one or more internal caches, one or more shared external caches, and/or to system memory.

In some embodiments, graphics processor 300 also includes a display controller 302 for driving display output data to a display device 318. The display controller 302 contains hardware for one or more overlay planes for displaying and combining multiple layers of user interface elements or video. Display device 318 may be an internal or external display device. In one embodiment, display device 318 is a head mounted display device, such as a Virtual Reality (VR) display device or an Augmented Reality (AR) display device. In some embodiments, graphics processor 300 includes a video codec engine 306 to encode, decode, or transcode media between one or more media coding formats, including but not limited to Moving Picture Experts Group (MPEG) formats such as MPEG-2, Advanced Video Coding (AVC) formats such as h.264/MPEG-4 AVC, h.265/HEVC, open media alliance (AOMedia) VP8, VP9, and motion picture and television engineers (SMPTE) 421M/VC-1 and Joint Photographic Experts Group (JPEG) formats such as JPEG, and motion JPEG (JPEG) formats.

In some embodiments, graphics processor 300 includes a block image transfer (BLIT) engine 304 for performing two-dimensional (2D) rasterizer operations, including, for example, bit boundary block transfers. However, in one embodiment, 2D graphics operations are performed using one or more components of a Graphics Processing Engine (GPE) 310. In some embodiments, GPE 310 is a compute engine for performing graphics operations including three-dimensional (3D) graphics operations and media operations.

In some embodiments, GPE 310 includes a 3D pipeline 312 for performing 3D operations, such as rendering three-dimensional images and scenes using processing functions that act on 3D primitive shapes (e.g., rectangles, triangles, etc.). The 3D pipeline 312 contains programmable and fixed function elements that perform various tasks and/or spawn (spawn) execution threads within the elements to the 3D/media subsystem 315. While the 3D pipeline 312 may be used to perform media operations, embodiments of the GPE 310 also include a media pipeline 316 that is particularly useful for performing media operations, such as video post-processing and image enhancement.

In some embodiments, media pipeline 316 contains fixed-function or programmable logic units to perform one or more dedicated media operations, such as video decoding acceleration, video de-interleaving, and video encoding acceleration, in place of or on behalf of video codec engine 306. In some embodiments, media pipeline 316 additionally includes a thread spawning unit to spawn threads for execution on 3D/media subsystem 315. The spawned threads perform computations for media operations on one or more graphics execution units contained in 3D/media subsystem 315.

In some embodiments, 3D/media subsystem 315 contains logic for executing threads spawned by 3D pipeline 312 and media pipeline 316. In one embodiment, the pipeline communicates thread execution requests to the 3D/media subsystem 315, the 3D/media subsystem 315 including thread dispatch logic for arbitrating and dispatching various requests (arbitrates) to available thread execution resources. The execution resources include an array of graphics execution units for processing 3D and media threads. In some embodiments, 3D/media subsystem 315 contains one or more internal caches for thread instructions and data. In some embodiments, the subsystem also includes a shared memory including registers and addressable memory to share data between the threads and to store output data.

FIG. 3B illustrates a graphics processor 320 having a tiled (tiled) architecture, according to embodiments described herein. In one embodiment, the graphics processor 320 includes a graphics processing engine cluster 322, the graphics processing engine cluster 322 having multiple instances of the graphics processing engine 310 of FIG. 3A within the graphics engine tiles 310A-310D. Each graphics engine tile 310A-310D may be interconnected via a set of tile interconnects 323A-323F. Each graphics engine tile 310A-310D may also be connected to a memory module or memory device 326A-326D via a memory interconnect 325A-325D. Memory devices 326A-326D may use any graphics memory technology. For example, memory devices 326A-326D may be Graphics Double Data Rate (GDDR) memories. Memory devices 326A-326D are, in one embodiment, High Bandwidth Memory (HBM) modules that may be on-die with their respective graphics engine tiles 310A-310D. In one embodiment, memory devices 326A-326D are stacked memory devices that may be stacked above their respective graphics engine tiles 310A-310D. In one embodiment, as described in further detail in FIGS. 11B-11D, each graphics engine tile 310A-310D and associated memory 326A-326D reside on a separate chiplet bonded to a base die or base substrate.

Graphics processing engine cluster 322 may be connected with on-chip or on-package fabric interconnect 324. The fabric interconnect 324 may enable communication between the graphics engine tiles 310A-310D and components such as the video codec 306 and one or more replication engines 304. The replication engine 304 may be used to move data out of, into, and between: memory devices 326A-326D and memory external to graphics processor 320 (e.g., system memory). The fabric interconnect 324 may also be used to interconnect the graphics engine tiles 310A-310D. The graphics processor 320 may optionally include a display controller 302 for enabling connection to an external display device 318. The graphics processor may also be configured as a graphics or compute accelerator. In an accelerator configuration, the display controller 302 and the display device 318 may be omitted.

The graphics processor 320 may be connected to a host system via a host interface 328. The host interface 328 may enable communication between the graphics processor 320, system memory, and/or other system components. The host interface 328 may be, for example, a PCI express bus or another type of host system interface.

FIG. 3C illustrates a computation accelerator 330 according to embodiments described herein. The compute accelerator 330 may contain architectural similarities to the graphics processor 320 of fig. 3B and is optimized for compute acceleration. The compute engine cluster 332 may include a set of compute engine tiles 340A-340D that include execution logic optimized for parallel or vector-based general purpose compute operations. In some embodiments, the compute engine tiles 340A-340D do not include fixed function graphics processing logic, although in one embodiment, one or more of the compute engine tiles 340A-340D may include logic for performing media acceleration. The compute engine tiles 340A-340D may be connected to the memories 326A-326D via the memory interconnects 325A-325D. The memories 326A-326D and the memory interconnects 325A-325D may be similar technologies as in the graphics processor 320 or may be different. The graphics compute engine tiles 340A-340D may also be interconnected via a set of tile interconnects 323A-323F, and may be connected with the fabric interconnect 324 and/or interconnected by the fabric interconnect 324. In one embodiment, the compute accelerator 330 includes a large L3 cache 336 that may be configured as a device-wide cache. The compute accelerator 330 may also be connected to a host processor and memory via a host interface 328 in a similar manner as the graphics processor 320 of FIG. 3B.

Graphics processing engine

FIG. 4 is a block diagram of a graphics processing engine 410 of a graphics processor, according to some embodiments. In one embodiment, the Graphics Processing Engine (GPE) 410 is a version of the GPE 310 shown in FIG. 3A, and may also represent the graphics engine tiles 310A-310D of FIG. 3B. Elements of fig. 4 having the same reference numbers (or names) as elements of any other figure herein may operate or function in any manner similar to that described elsewhere herein, but are not limited to such. For example, the 3D pipeline 312 and the media pipeline 316 of fig. 3A are shown. The media pipeline 316 is optional in some embodiments of the GPE 410 and may not be explicitly contained within the GPE 410. For example and in at least one embodiment, a separate media and/or image processor is coupled to GPE 410.

In some embodiments, GPE 410 is coupled to or contains command streamer 403, the command streamer 403 providing a command stream to 3D pipeline 312 and/or media pipeline 316. In some embodiments, command streamer 403 is coupled with a memory, which may be a system memory, or one or more of an internal cache memory and a shared cache memory. In some embodiments, command streamer 403 receives commands from memory and passes the commands to 3D pipeline 312 and/or media pipeline 316. The commands are instructions (direct) fetched from a ring buffer that stores commands for the 3D pipeline 312 and the media pipeline 316. In one embodiment, the ring buffer may additionally include a batch command buffer storing a batch of a plurality of commands. The commands for 3D pipeline 312 may also contain references to data stored in memory, such as, but not limited to, vertex and geometry data for 3D pipeline 312 and/or image data and memory objects for media pipeline 316. The 3D pipeline 312 and the media pipeline 316 process commands and data by performing operations via logic within the respective pipelines or by dispatching one or more execution threads to the graphics core array 414. In one embodiment, graphics core array 414 contains one or more blocks of graphics cores (e.g., graphics core(s) 415A, graphics core(s) 415B), each block containing one or more graphics cores. Each graphics core includes: a set of graphics execution resources containing general purpose and graphics specific execution logic for performing graphics and computational operations; and fixed function texture processing and/or machine learning and artificial intelligence acceleration logic.

In various embodiments, 3D pipeline 312 may include fixed functionality and programmable logic for processing one or more shader programs (such as vertex shaders, geometry shaders, pixel shaders, fragment shaders, compute shaders, or other shader programs) by processing instructions and dispatching execution threads to graphics core array 414. Graphics core array 414 provides a uniform block of execution resources for use in processing these shader programs. Multipurpose execution logic (e.g., execution units) within graphics core(s) 415A-415B of graphics core array 414 includes support for various 3D API shader languages and may execute multiple concurrently executing threads associated with multiple shaders.

In some embodiments, graphics core array 414 contains execution logic for performing media functions, such as video and/or image processing. In one embodiment, the execution unit contains general purpose logic that is programmable to perform parallel general purpose computing operations in addition to graphics processing operations. The general purpose logic may perform processing operations in parallel or in conjunction with general purpose logic within processor core(s) 107 of fig. 1 or cores 202A-202N as in fig. 2A.

Output data generated by threads executing on graphics core array 414 may output the data to memory in Unified Return Buffer (URB) 418. The URB 418 may store data for multiple threads. In some embodiments, the URB 418 may be used to send data between different threads executing on the graphics core array 414. In some embodiments, the URB 418 may additionally be used for synchronization between threads on the graphics core array and fixed function logic within the shared function logic 420.

In some embodiments, the graphics core array 414 is scalable such that the array contains a variable number of graphics cores each having a variable number of execution units based on the target power and performance level of the GPE 410. In one embodiment, the execution resources are dynamically scalable such that the execution resources may be enabled or disabled as needed.

Graphics core array 414 is coupled to shared function logic 420, where shared function logic 420 comprises a plurality of resources shared between graphics cores in the graphics core array. The shared function within shared function logic 420 is a hardware logic unit that provides dedicated supplemental functionality to graphics core array 414. In various embodiments, shared function logic 420 includes, but is not limited to, sampler 421, math 422, and inter-thread communication (ITC) 423 logic. Additionally, some embodiments implement one or more caches 425 within shared function logic 420.

Shared functionality is implemented, at least in cases where the demand for a given dedicated function is insufficient to be contained within graphics core array 414. Instead, a single instantiation of the dedicated function is implemented as a separate entity in the shared function logic 420 and is shared between execution resources within the graphics core array 414. The exact set of functions shared among graphics core array 414 and contained within graphics core array 414 varies across embodiments. In some embodiments, a particular shared function within shared function logic 420 that is widely used by graphics core array 414 may be included within shared function logic 416 within graphics core array 414. In various embodiments, shared function logic 416 within graphics core array 414 may include some or all of the logic within shared function logic 420. In one embodiment, all logic elements within shared function logic 420 may be duplicated within shared function logic 416 of graphics core array 414. In one embodiment, shared function logic 420 is eliminated in favor of shared function logic 416 within graphics core array 414.

Execution unit

Fig. 5A-5B illustrate thread execution logic 500 that includes an array of processing elements employed in a graphics processor core, according to embodiments described herein. Elements of fig. 5A-5B having the same reference numbers (or names) as elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such. 5A-5B illustrate an overview of thread execution logic 500, which thread execution logic 500 may represent the hardware logic illustrated with each of the sub-cores 221A-221F of FIG. 2B. FIG. 5A represents an execution unit within a general purpose graphics processor, while FIG. 5B represents an execution unit that may be used within a compute accelerator.

As shown in fig. 5A, in some embodiments, thread execution logic 500 includes a shader processor 502, a thread dispatcher 504, an instruction cache 506, a scalable execution unit array including a plurality of execution units 508A-508N, a sampler 510, a shared local memory 511, a data cache 512, and a data port 514. In one embodiment, the scalable array of execution units may be dynamically scaled by enabling or disabling one or more execution units (e.g., any of execution units 508A, 508B, 508C, 508D through 508N-1 and 508N) based on the computational requirements of the workload. In one embodiment, the included components are interconnected via an interconnection fabric linked to each of the components. In some embodiments, the thread execution logic 500 includes one or more connections to memory (such as system memory or cache memory) through one or more of the instruction cache 506, data port 514, sampler 510, and execution units 508A-508N. In some embodiments, each execution unit (e.g., 508A) is an independently programmable general purpose computing unit capable of executing multiple simultaneous hardware threads while processing multiple data elements in parallel for each thread. In various embodiments, the array of execution units 508A-508N is scalable to include any number of individual execution units.

In some embodiments, execution units 508A-508N are primarily used to execute shader programs. Shader processor 502 can process various shader programs and dispatch threads of execution associated with the shader programs via thread dispatcher 504. In one embodiment, the thread dispatcher contains logic to arbitrate thread-originating requests from the graphics and media pipelines and to instantiate the requested thread on one or more of the execution units 508A-508N. For example, a geometry pipeline may dispatch vertices, tessellations, or geometry shaders to thread execution logic for processing. In some embodiments, the thread dispatcher 504 may also process runtime thread spawn requests from shader programs that are executing.

In some embodiments, execution units 508A-508N support an instruction set that includes native support for many standard 3D graphics shader instructions, such that shader programs from graphics libraries (e.g., Direct3D and OpenGL) are executed with minimal translation. Execution units support vertex and geometry processing (e.g., vertex programs, geometry programs, vertex shaders), pixel processing (e.g., pixel shaders, fragment shaders), and general purpose processing (e.g., compute and media shaders). Each of the execution units 508A-508N is capable of multi-issue (multi-issue) Single Instruction Multiple Data (SIMD) execution, and multi-threading enables an efficient execution environment in the face of higher latency memory accesses. Each hardware thread within each execution unit has a dedicated high bandwidth register file and associated independent thread state. Execution is a multiple issue per clock for pipelines that can perform integer, single and double precision floating point operations, SIMD branch capability, logical operations, transcendental operations, and other miscellaneous operations. While waiting for data from one of the memory or shared functions, dependency logic within the execution units 508A-508N causes the waiting threads to sleep until the requested data has been returned. While the waiting thread is sleeping, the hardware resources may be dedicated to processing other threads. For example, during a delay associated with vertex shader operations, the execution unit may execute operations for a pixel shader, a fragment shader, or another type of shader program (including a different vertex shader). Various embodiments may be applied to: execution is used by using Single Instruction Multiple Threads (SIMT) as an alternative to or in addition to using SIMD. References to SIMD cores or operations may also be applied to SIMT or to SIMD combined with SIMT.

Each of the execution units 508A-508N operates on an array of data elements. The number of data elements is the "execution size" or number of lanes of the instruction. An execution channel is a logical unit for the execution of data element access, masking, and flow control within an instruction. The number of channels may be independent of the number of physical Arithmetic Logic Units (ALUs) or Floating Point Units (FPUs) of a particular graphics processor. In some embodiments, execution units 508A-508N support both integer and floating point data types.

The execution unit instruction set includes SIMD instructions. Various data elements may be stored in registers as packed data types, and execution units will process the various elements based on their data sizes. For example, in operating on a 256-bit wide vector, 256 bits of the vector are stored in a register, and the execution unit operates on the vector as four separate 54-bit packed data elements (four word (QW) size data elements), eight separate 32-bit packed data elements (double word (DW) size data elements), sixteen separate 16-bit packed data elements (word (W) size data elements), or thirty-two separate 8-bit data elements (byte (B) size data elements). However, different vector widths and register sizes are possible.

In one embodiment, one or more execution units may be combined into a fused execution unit 509A-509N having thread control logic (507A-507N) that is common to the fused EU. Multiple EUs may be fused into an EU group. Each EU in the fused EU group can be configured to execute a separate SIMD hardware thread. The number of EUs in the fused EU group may vary according to the embodiment. Additionally, various SIMD widths may be performed per EU, including but not limited to SIMD8, SIMD16, and SIMD 32. Each fused graphics execution unit 509A-509N contains at least two execution units. For example, the converged execution unit 509A includes a first EU 508A, a second EU 508B, and thread control logic 507A, the thread control logic 507A being common to the first EU 508A and the second EU 508B. Thread control logic 507A controls the threads executing on the fused graphics execution unit 509A, allowing each EU within the fused execution units 509A-509N to execute using a common instruction pointer register.

One or more internal instruction caches (e.g., 506) are included in the thread execution logic 500 to cache thread instructions for the execution units. In some embodiments, one or more data caches (e.g., 512) are included to cache thread data during thread execution. Threads executing on execution logic 500 may also store explicitly managed data in shared local memory 511. In some embodiments, sampler 510 is included to provide texture samples for 3D operations and media samples for media operations. In some embodiments, sampler 510 contains dedicated texture or media sampling functionality to process texture or media data during the sampling process before providing the sampled data to the execution units.

During execution, the graphics and media pipeline sends thread initiation requests to thread execution logic 500 via thread spawn and dispatch logic. Once a group of geometric objects has been processed and rasterized into pixel data, pixel processor logic (e.g., pixel shader logic, fragment shader logic, etc.) within shader processor 502 is invoked to further compute output information and cause the results to be written to an output surface (e.g., a color buffer, a depth buffer, a stencil buffer, etc.). In some embodiments, the pixel shader or fragment shader computes values for various vertex attributes to be interpolated across rasterized objects. In some embodiments, pixel processor logic within shader processor 502 then executes an Application Programming Interface (API) supplied pixel or fragment shader program. To execute shader programs, shader processor 502 dispatches threads to execution units (e.g., 508A) via thread dispatcher 504. In some embodiments, shader processor 502 uses texture sampling logic in sampler 510 to access texture data in a texture map stored in memory. Arithmetic operations on the texture data and the input geometry data compute pixel color data for each geometric segment, or discard one or more pixels without further processing.

In some embodiments, the data port 514 provides a memory access mechanism for the thread execution logic 500 to output processed data to memory for further processing on a graphics processor output pipeline. In some embodiments, data port 514 includes or is coupled to one or more cache memories (e.g., data cache 512) to cache data for memory access via the data port.

In one embodiment, the execution logic 500 may also include a ray tracker 505, which ray tracker 505 may provide ray tracing acceleration functionality. The ray tracker 505 may support a ray traced instruction set that includes instructions/functions for ray generation. The ray trace instruction set may be similar to or different from the ray trace instruction set supported by the ray trace core 245 of fig. 2C.

Fig. 5B shows exemplary internal details of execution unit 508 according to an embodiment. The graphics execution unit 508 may include a set of instruction fetch units 537, general register file arrays (GRFs) 524, architectural register file Arrays (ARFs) 526, thread arbiters 522, issue units 530, branch units 532, SIMD Floating Point Units (FPUs) 534, and in one embodiment, dedicated integer SIMD ALUs 535. The GRFs 524 and ARFs 526 contain a set of general purpose register files and architectural register files associated with each simultaneous hardware thread that may be active in the graphics execution unit 508. In one embodiment, per-thread architectural state is maintained in the ARF 526, while data used during thread execution is stored in the GRF 524. The execution state of each thread (containing an instruction pointer for each thread) may be maintained in thread specific registers in the ARF 526.

In one embodiment, the graphics execution unit 508 has an architecture that is a combination of Simultaneous Multithreading (SMT) and fine-grained Interleaved Multithreading (IMT). The architecture has a modular configuration that can be fine-tuned at design time based on the number of registers per execution unit and the target number of simultaneous threads, where execution unit resources are partitioned across logic for executing multiple simultaneous threads. The number of logical threads that may be executed by the graphics execution unit 508 is not limited to the number of hardware threads, and multiple logical threads may be assigned to each hardware thread.

In one embodiment, the graphics execution unit 508 may collectively issue multiple instructions, which may each be different instructions. The thread arbiter 522 of the graphics execution unit thread 508 may dispatch the instruction to one of the issue unit 530, branch unit 532, or SIMD FPU(s) 534 for execution. Each execution thread may access 128 general purpose registers within the GRF 524, where each register may store 32 bytes, which may be accessed as a SIMD8 element vector of 32-bit data elements. In one embodiment, each execution unit thread may access 4 kilobytes within GRF 524, although embodiments are not so limited and in other embodiments more or less register resources may be provided. In one embodiment, the graphics execution unit 508 is partitioned into seven hardware threads that can independently perform computational operations, although the number of threads per execution unit may also vary depending on the embodiment. For example, up to 16 hardware threads are supported in one embodiment. In an embodiment where seven threads have access to 4 kilobytes, the GRF 524 may store a total of 28 kilobytes. In the case where 16 threads have access to 4 kilobytes, the GRF 524 may store a total of 64 kilobytes. The flexible addressing mode may allow registers to be addressed together to efficiently build wider registers or to represent a strided rectangular block data structure.

In one embodiment, memory operations, sampler operations, and other longer latency system communications are dispatched via a "send" instruction executed by the messaging transmit unit 530. In one embodiment, branch instructions are dispatched to a dedicated branch unit 532 to facilitate SIMD divergence and eventual convergence.

In one embodiment, graphics execution unit 508 includes one or more SIMD floating-point units ((one or more) FPUs) 534 to perform floating-point operations. In one embodiment, FPU(s) 534 also support integer computations. In one embodiment, FPU(s) 534 may SIMD perform up to M number of 32-bit floating point (or integer) operations, or SIMD performs up to 2M 16-bit integer or 16-bit floating point operations. In one embodiment, at least one of the FPU(s) provides extended mathematical capabilities to support high throughput transcendental mathematical functions and double precision 54-bit floating point. In some embodiments, there is also a set of 8-bit integer SIMD ALUs 535, and the set of 8-bit integer SIMD ALUs 535 may be specifically optimized to perform operations associated with machine learning computations.

In one embodiment, an array of multiple instances of graphics execution unit 508 may be instantiated in a graphics sub-core grouping (e.g., a subslice). For scalability, the product architect may choose the exact number of execution units per sub-core packet. In one embodiment, the execution unit 508 may execute instructions across multiple execution channels. In further embodiments, each thread executing on the graphics execution unit 508 is executed on a different channel.

Fig. 6 shows a further execution unit 600 according to an embodiment. Execution unit 600 may be a compute optimized execution unit for use in, for example, compute engine tiles 340A-340D as in FIG. 3C, but is not so limited. Variations of execution unit 600 may also be used in graphics engine tiles 310A-310D as in FIG. 3B. In one embodiment, the execution unit 600 includes a thread control unit 601, a thread state unit 602, an instruction fetch/pre-fetch unit 603, and an instruction decode unit 604. The execution unit 600 additionally includes a register file 606, the register file 606 storing registers that may be assigned to hardware threads within the execution unit. The execution unit 600 additionally comprises a dispatch unit 607 and a branch unit 608. In one embodiment, the dispatch unit 607 and branch unit 608 may operate similarly to the dispatch unit 530 and branch unit 532 of the graphics execution unit 508 of FIG. 5B.

The execution unit 600 also comprises a calculation unit 610, said calculation unit 610 comprising a plurality of different types of functional units. In one embodiment, compute unit 610 includes an ALU unit 611, the ALU unit 611 including an array of arithmetic logic units. ALU unit 611 may be configured to perform 64-bit, 32-bit, and 16-bit integer and floating point operations. Integer and floating point operations may be performed simultaneously. The compute unit 610 may also include a systolic array 612 and a math unit 613. Systolic array 612 includes a network of widths W and depths D of data processing units that may be used to perform vector or other data parallel operations in a systolic manner. In one embodiment, systolic array 612 may be configured to perform matrix operations, such as matrix dot product operations. In one embodiment, systolic array 612 supports 16-bit floating point operations and 8-bit and 4-bit integer operations. In one embodiment, systolic array 612 may be configured to accelerate machine learning operations. In such embodiments, systolic array 612 may be configured with support for a bfloat 16-bit floating point format. In one embodiment, math unit 613 can be included to perform a particular subset of math operations in an efficient and less powerful manner than ALU unit 611. Math unit 613 can include variations of math logic that can be found in shared function logic of graphics processing engines provided by other embodiments (e.g., math logic 422 of shared function logic 420 of fig. 4). In one embodiment, the math unit 613 may be configured to perform 32-bit and 64-bit floating point operations.

Thread control unit 601 contains logic for controlling the execution of threads within the execution unit. Thread control unit 601 may include thread arbitration logic for starting, stopping, and preempting execution of threads within execution unit 600. Thread state unit 602 may be used to store thread state for threads assigned to execute on execution unit 600. Storing thread states within execution units 600 enables fast preemption of threads when those threads become blocked or idle. The instruction fetch/pre-fetch unit 603 may fetch instructions from an instruction cache of higher level execution logic (e.g., as instruction cache 506 in fig. 5A). The instruction fetch/prefetch unit 603 may also issue prefetch requests for instructions to be loaded into the instruction cache based on an analysis of the currently executing threads. Instruction decode unit 604 may be used to decode instructions to be executed by a compute unit. In one embodiment, the instruction decode unit 604 may be used as a secondary decoder to decode complex instructions into constituent micro-operations.

The execution unit 600 additionally includes a register file 606 that may be used by hardware threads executing on the execution unit 600. The registers in register file 606 may be partitioned across logic used to execute multiple simultaneous threads within compute unit 610 of execution unit 600. The number of logical threads that can be executed by the graphics execution unit 600 is not limited to the number of hardware threads, and multiple logical threads may be assigned to each hardware thread. The size of the register file 606 may vary across embodiments based on the number of hardware threads supported. In one embodiment, register renaming may be used to dynamically assign registers to hardware threads.

FIG. 7 is a block diagram illustrating a graphics processor instruction format 700 according to some embodiments. In one or more embodiments, a graphics processor execution unit supports an instruction set with instructions in multiple formats. The solid boxes show components that are typically included in execution unit instructions, while the dashed lines include components that are optional or included only in a subset of the instructions. In some embodiments, the instruction format 700 described and illustrated is a macro-instruction because they are instructions supplied to the execution units, as opposed to micro-operations generated by instruction decoding once the instructions are processed.

In some embodiments, the graphics processor execution unit natively supports instructions in the 128-bit instruction format 710. The 64-bit packed instruction format 730 may be used for some instructions based on the selected instruction, instruction options, and number of operands. The native 128-bit instruction format 710 provides access to all instruction options, while with the 64-bit format 730, some options and operations are restricted. The available native instructions in the 64-bit format 730 vary from embodiment to embodiment. In some embodiments, instructions are partially compressed using a set of index values in index field 713. The execution unit hardware references a set of compression tables based on the index values and uses the compression table outputs to reconstruct native instructions in the 128-bit instruction format 710. Other sizes and formats of instructions may be used.

For each format, instruction opcode 712 defines the operation to be performed by the execution unit. An execution unit executes each instruction in parallel across multiple data elements of each operand. For example, in response to an add instruction, the execution unit performs a simultaneous add operation across each color channel representing a texel or a picture element. By default, the execution unit executes each instruction across all data lanes of operands. In some embodiments, instruction control field 714 enables control of certain execution options such as channel selection (e.g., prediction) and data channel order (e.g., swizzle). For instructions that employ the 128-bit instruction format 710, the execution size field 716 limits the number of data lanes to be executed in parallel. In some embodiments, the execution size field 716 is not available in the 64-bit compressed instruction format 730.

Some execution unit instructions have up to three operands, including two source operands, src 0720, src 1722, and one destination 718. In some embodiments, the execution unit supports dual destination instructions, where one of the destinations is implicit. The data manipulation instruction may have a third source operand (e.g., SRC 2724), where the instruction opcode 712 determines the number of source operands. The last source operand of an instruction may be an immediate (e.g., hard-coded) value passed with the instruction.

In some embodiments, 128-bit instruction format 710 contains an access/address mode field 726, the access/address mode field 726 specifying, for example, whether direct register addressing mode or indirect register addressing mode is used. When using the direct register addressing mode, the register address of one or more operands is provided directly by bits in the instruction.

In some embodiments, 128-bit instruction format 710 contains an access/address mode field 726, the access/address mode field 726 specifying an address mode and/or an access mode of the instruction. In one embodiment, an access pattern is used to define the data access alignment of an instruction. Some embodiments support access patterns that include 16 byte aligned access patterns and 1 byte aligned access patterns, where the byte alignment of the access patterns determines the access alignment of the instruction operands. For example, when in the first mode, the instruction may use byte aligned addressing for the source operand and the destination operand, and when in the second mode, the instruction may use 16 byte aligned addressing for all of the source operand and the destination operand.

In one embodiment, the address mode portion of the access/address mode field 726 determines whether the instruction is to use direct addressing or indirect addressing. When using the direct register addressing mode, bits in the instruction directly provide the register address of one or more operands. When using the indirect register addressing mode, register addresses for one or more operands may be calculated based on address immediate fields and address register values in the instructions.

In some embodiments, instructions are grouped based on opcode 712 bit fields to simplify opcode decoding 740. For an 8-bit opcode, bits 4, 5, and 6 allow the execution unit to determine the type of opcode. The exact opcode groupings shown are examples only. In some embodiments, the move and logical opcode group 742 includes data move and logical instructions (e.g., move (mov), compare (cmp)). In some embodiments, move and logical group 742 share five Most Significant Bits (MSBs), with a move (mov) instruction taking the form 0000 xxxxxxb and a logical instruction taking the form 0001 xxxxb. The flow control instruction group 744 (e.g., call, jump (jmp)) includes instructions in the form of 0010 xxxxxxb (e.g., 0x 20). The miscellaneous instruction group 746 includes a mix of instructions, including synchronous instructions (e.g., wait, send) in the form of 0011 xxxxxxb (e.g., 0x 30). The parallel mathematical instruction group 748 includes component-wise arithmetic instructions (e.g., add, multiply (mul)) in the form of 0100 xxxxxxb (e.g., 0x 40). The parallel math group 748 performs arithmetic operations in parallel across the data channels. Vector math group 750 includes arithmetic instructions (e.g., dp 4) in the form 0101xxxxb (e.g., 0x 50). Vector math groups perform arithmetic such as dot product calculations on vector operands. Opcode decoding 740 as shown may be used in one embodiment to determine which portion of an execution unit is to be used to execute decoded instructions. For example, some instructions may be designated as systolic instructions to be executed by the systolic array. Other instructions, such as ray tracing instructions (not shown), may be routed to ray tracing cores or ray tracing logic within a slice or partition of execution logic.

Graphics pipeline

Fig. 8 is a block diagram of another embodiment of a graphics processor 800. Elements of fig. 8 having the same reference numbers (or names) as elements of any other figure herein may operate or function in any manner similar to that described elsewhere herein, but are not limited to such.

In some embodiments, graphics processor 800 includes geometry pipeline 820, media pipeline 830, display engine 840, thread execution logic 850, and render output pipeline 870. In some embodiments, graphics processor 800 is a graphics processor within a multi-core processing system that includes one or more general purpose processing cores. The graphics processor is controlled by register writes to one or more control registers (not shown) or via commands issued to the graphics processor 800 over the ring interconnect 802. In some embodiments, ring interconnect 802 couples graphics processor 800 to other processing components, such as other graphics processors or general purpose processors. Commands from the ring interconnect 802 are interpreted by a command streamer 803, which command streamer 803 supplies instructions to the various components of the geometry pipeline 820 or media pipeline 830.

In some embodiments, the command streamer 803 directs the operation of a vertex fetcher 805, which vertex fetcher 805 reads the vertex data from memory and executes the vertex processing commands provided by the command streamer 803. In some embodiments, vertex fetcher 805 provides vertex data to vertex shader 807, which vertex shader 807 performs coordinate space transformations and lighting operations on each vertex. In some embodiments, vertex fetcher 805 and vertex shader 807 execute vertex processing instructions by dispatching execution threads to execution units 852A-852B via thread dispatcher 831.

In some embodiments, execution units 852A-852B are an array of vector processors having sets of instructions for performing graphics and media operations. In some embodiments, execution units 852A-852B have an attached L1 cache 851, the L1 cache 851 being specific to each array or shared between arrays. The cache can be configured as a data cache, an instruction cache, or a single cache partitioned to contain data and instructions in different partitions.

In some embodiments, geometry pipeline 820 includes a tessellation component to perform hardware accelerated tessellation of 3D objects. In some embodiments, a programmable hull shader (programmable hull shader) 811 configures the tessellation operations. The programmable domain shader 817 provides back-end evaluation of the tessellation output. The tessellator 813 operates under the direction of the hull shader 811 and contains dedicated logic to generate a set of detailed geometric objects based on a coarse geometric model provided as input to the geometry pipeline 820. In some embodiments, if tessellation is not used, tessellation components (e.g., hull shader 811, tessellator 813, and domain shader 817) may be bypassed.

In some embodiments, a complete geometry object may be processed by the geometry shader 819 via one or more threads assigned to the execution units 852A-852B, or may travel directly to a clipper 829. In some embodiments, the geometry shader operates on the entire geometry object, rather than on vertices or patches of vertices (patches) as in previous stages of the graphics pipeline. If tessellation is disabled, geometry shader 819 receives input from vertex shader 807. In some embodiments, the geometry shader 819 may be programmed by a geometry shader program to perform geometry tessellation when the tessellation unit is disabled.

Before rasterization, the clipper 829 processes the vertex data. The clipper 829 may be a programmable clipper or a fixed function clipper with clipping and geometry shader functions. In some embodiments, the rasterizer and depth test component 873 in the render output pipeline 870 dispatches pixel shaders to convert the geometric objects into a pixel-by-pixel representation. In some embodiments, pixel shader logic is included in thread execution logic 850. In some embodiments, the application may bypass the rasterizer and depth test component 873 and access the non-rasterized vertex data via the stream out unit 823.

Graphics processor 800 has an interconnect bus, interconnect fabric, or some other interconnect mechanism that allows data and messages to be passed between the main components of the processor. In some embodiments, the execution units 852A-852B and associated logic units (e.g., L1 cache 851, sampler 854, texture cache 858, etc.) are interconnected via data ports 856 to perform memory accesses and communicate with the rendering output pipeline components of the processor. In some embodiments, sampler 854, caches 851, 858 and execution units 852A-852B each have separate memory access paths. In one embodiment, texture cache 858 may also be configured as a sampler cache.

In some embodiments, the render output pipeline 870 contains a rasterizer and depth test component 873 that converts vertex-based objects into associated pixel-based representations. In some embodiments, the rasterizer logic includes a windower (windower)/masker unit for performing fixed function triangles and wire rasterization. An associated render cache 878 and depth cache 879 may also be available in some embodiments. The pixel operations component 877 performs pixel-based operations on the data, although in some examples, pixel operations associated with 2D operations (e.g., bitmap transfer with blending) are performed by the 2D engine 841 or replaced by the display controller 843 when displaying with an overlay display plane. In some embodiments, shared L3 cache 875 is available to all graphics components, allowing data to be shared without using main system memory.

In some embodiments, graphics processor media pipeline 830 includes a media engine 837 and a video front end 834. In some embodiments, video front end 834 receives pipeline commands from command streamer 803. In some embodiments, media pipeline 830 contains a separate command streamer. In some embodiments, the video front end 834 processes media commands before sending the commands to the media engine 837. In some embodiments, media engine 837 includes thread spawning functionality to spawn threads for dispatch to thread execution logic 850 via thread dispatcher 831.

In some embodiments, graphics processor 800 includes a display engine 840. In some embodiments, display engine 840 is external to processor 800 and is coupled with the graphics processor via ring interconnect 802 or some other interconnect bus or fabric. In some embodiments, display engine 840 includes a 2D engine 841 and a display controller 843. In some embodiments, the display engine 840 contains dedicated logic that can operate independently of the 3D pipeline. In some embodiments, the display controller 843 is coupled with a display device (not shown), which may be a system-integrated display device (as in a laptop computer) or may be an external display device attached via a display device connector.

In some embodiments, geometry pipeline 820 and media pipeline 830 may be configured to perform operations based on multiple graphics and media programming interfaces and are not specific to any one Application Programming Interface (API). In some embodiments, driver software for the graphics processor translates API calls specific to a particular graphics or media library into commands that can be processed by the graphics processor. In some embodiments, support is provided for an open graphics library (OpenGL), open computing language (OpenCL), and/or Vulkan graphics and computing APIs, all from the Khronos Group. In some embodiments, support may also be provided for the Direct3D library from microsoft corporation. In some embodiments, a combination of these libraries may be supported. Support may also be provided for the open source computer vision library (OpenCV). Future APIs with compatible 3D pipelines will also be supported if a mapping from the pipeline of the future API to the pipeline of the graphics processor can be made.

Graphics pipeline programming

FIG. 9A is a block diagram illustrating a graphics processor command format 900 according to some embodiments. FIG. 9B is a block diagram that illustrates a graphics processor command sequence 910, according to an embodiment. The solid line boxes in FIG. 9A show components that are typically contained in graphics commands, while the dashed lines contain components that are optional or contained only in a subset of graphics commands. The exemplary graphics processor command format 900 of FIG. 9A contains data fields for identifying the client 902, command operation code (opcode) 904, and data 906 of the command. Some commands also include a sub-opcode 905 and a command size 908.

In some embodiments, the client 902 specifies a client unit of the graphics device that processes command data. In some embodiments, the graphics processor command parser examines the client field of each command to adjust the further processing of the command and routes the command data to the appropriate client unit. In some embodiments, a graphics processor client unit includes a memory interface unit, a rendering unit, a 2D unit, a 3D unit, and a media unit. Each client unit has a corresponding processing pipeline that processes commands. Upon receipt of the command by the client unit, the client unit reads the operation code 904 and the sub-operation code 905 (if the sub-operation code 905 is present) to determine the operation to be performed. The client unit uses the information in data field 906 to execute the command. For some commands, an explicit command size 908 is contemplated to specify the size of the command. In some embodiments, the command parser automatically determines the size of at least some commands based on the command opcode. In some embodiments, the commands are aligned via multiples of a doubleword. Other command formats may be used.

An exemplary graphics processor command sequence 910 is illustrated by the flow in FIG. 9B. In some embodiments, software or firmware of a data processing system featuring an embodiment of a graphics processor uses the version of the command sequence shown to set, execute, and terminate a set of graphics operations. Sample command sequences are shown and described for purposes of example only, as embodiments are not limited to these particular commands or this sequence of commands. Additionally, the commands may be issued as batch commands in a command sequence such that the graphics processor will process the sequence of commands at least partially concurrently.

In some embodiments, graphics processor command sequence 910 may begin with a pipeline flush command 912 to cause any active graphics pipeline to complete the current pending commands of the pipeline. In some embodiments, 3D pipeline 922 and media pipeline 924 do not operate concurrently. A pipeline flush is performed to cause the active graphics pipeline to complete any pending commands. In response to the pipeline flush, the command parser of the graphics processor will halt command processing until the active drawing engine completes pending operations and the associated read cache is invalidated. Alternatively, any data in the render cache marked as dirty may be flushed to memory. In some embodiments, pipeline flush command 912 may be used for pipeline synchronization or used before placing the graphics processor into a low power state.

In some embodiments, the pipeline select command 913 is used when the command sequence requires the graphics processor to explicitly switch between pipelines. In some embodiments, the pipeline select command 913 is required only once within the execution context before issuing the pipeline command unless the context is to issue commands for both pipelines. In some embodiments, a pipeline flush command 912 is required immediately prior to a pipeline switch via pipeline select command 913.

In some embodiments, pipeline control commands 914 configure the graphics pipeline for operation and are used to program 3D pipeline 922 and media pipeline 924. In some embodiments, the pipeline control commands 914 configure the pipeline state of the active pipeline. In one embodiment, the pipeline control command 914 is used for pipeline synchronization and to flush data from one or more caches within the active pipeline before processing a batch of commands.

In some embodiments, a return buffer status command 916 is used to configure a set of return buffers for a respective pipeline to write data. Some pipelining operations require allocation, selection, or configuration of one or more return buffers to which these operations write intermediate data during processing. In some embodiments, the graphics processor also uses one or more return buffers to store output data and perform cross-thread communications. In some embodiments, return buffer status 916 includes the size and number of return buffers selected to be used for the set of pipelined operations.

The remaining commands in the command sequence differ based on the active pipeline used for the operation. Based on the pipeline determination 920, the command sequence is customized to either the 3D pipeline 922 starting with the 3D pipeline state 930 or the media pipeline 924 starting from the media pipeline state 940.

The commands used to configure the 3D pipeline state 930 include 3D state set commands for vertex buffer state, vertex element state, constant color state, depth buffer state, and other state variables to be configured before processing the 3D primitive command. The values of these commands are determined based at least in part on the particular 3D API in use. In some embodiments, the 3D pipeline state 930 commands can also selectively disable or bypass certain pipeline elements if those elements are not to be used.

In some embodiments, the 3D primitive 932 command is used to submit a 3D primitive to be processed by the 3D pipeline. Commands and associated parameters passed to the graphics processor via the 3D primitive 932 commands are forwarded to vertex fetch functions in the graphics pipeline. The vertex fetch function uses the 3D primitive 932 command data to generate the vertex data structure. The vertex data structure is stored in one or more return buffers. In some embodiments, 3D primitive 932 commands are used to perform vertex operations on 3D primitives via a vertex shader. To process the vertex shader, 3D pipeline 922 dispatches shader execution threads to the graphics processor execution unit.

In some embodiments, the 3D pipeline 922 is triggered via an execute 934 command or event. In some embodiments, the register write triggers the command execution. In some embodiments, execution is triggered via a "go" or "kick" command in the command sequence. In one embodiment, a pipeline synchronization command is used to trigger command execution to flush a sequence of commands through a graphics pipeline. The 3D pipeline will perform geometric processing of the 3D primitives. Once the operation is complete, the resulting geometric object is rasterized and the pixel engine colors the resulting pixels. For those operations, additional commands for controlling pixel shading and pixel back-end operations may also be included.

In some embodiments, graphics processor command sequence 910 follows the path of media pipeline 924 when performing media operations. In general, the particular use and manner of programming for media pipeline 924 depends on the media or computing operation to be performed. Certain media decoding operations may be offloaded to the media pipeline during media decoding. In some embodiments, the media pipeline may also be bypassed and media decoding may be performed in whole or in part using resources provided by one or more general purpose processing cores. In one embodiment, the media pipeline also includes elements for General Purpose Graphics Processor Unit (GPGPU) operations, where the graphics processor is used to perform SIMD vector operations using a compute shader program that is not explicitly related to the rendering of graphics primitives.

In some embodiments, media pipeline 924 is configured in a similar manner as 3D pipeline 922. The set of commands to configure the media pipeline state 940 is dispatched or placed into a command queue prior to the media object command 942. In some embodiments, the commands for the media pipeline state 940 include data for configuring media pipeline elements to be used to process the media object. This contains data, such as encoding and decoding formats, used to configure the video decoding and video encoding logic within the media pipeline. In some embodiments, the commands for the media pipeline state 940 also support the use of one or more pointers to "indirect" state elements containing a collection of state settings.

In some embodiments, media object command 942 supplies a pointer to a media object for processing by the media pipeline. The media object includes a memory buffer containing video data to be processed. In some embodiments, all of the media pipeline state must be valid before issuing the media object command 942. Once the pipeline state is configured and the media object command 942 is queued, the media pipeline 924 is triggered via an execute command 944 or equivalent execute event (e.g., a register write). The output from media pipeline 924 may then be post-processed by operations provided by 3D pipeline 922 or media pipeline 924. In some embodiments, GPGPU operations are configured and performed in a similar manner as media operations.

Graphics software architecture

FIG. 10 illustrates an exemplary graphics software architecture for data processing system 1000 in accordance with some embodiments. In some embodiments, the software architecture includes a 3D graphics application 1010, an operating system 1020, and at least one processor 1030. In some embodiments, processor 1030 includes a graphics processor 1032 and one or more general purpose processor cores 1034. Graphics application 1010 and operating system 1020 each execute in system memory 1050 of the data processing system.

In some embodiments, 3D graphics application 1010 contains one or more shader programs, including shader instructions 1012. The shader language instructions may employ a high level shader language, such as High Level Shader Language (HLSL) or OpenGL shader language (GLSL) of Direct3D, and so forth. The application also includes executable instructions 1014 in a machine language suitable for execution by the general purpose processor core 1034. The application also contains a graphical object 1016 defined by the vertex data.

In some embodiments, the operating system 1020 is Microsoft Windows ® operating system from Microsoft corporation, a proprietary UNIX-like operating system, or an open source UNIX-like operating system using a variant of the Linux kernel. The operating system 1020 may support a graphics API 1022, such as the Direct3D API, the OpenGL API, or the Vulkan API. When the Direct3D API is in use, the operating system 1020 uses a front-end shader compiler 1024 to compile any shader instructions 1012 that employ HLSL into a lower-level shader language. The compilation may be a just-in-time (JIT) compilation or an application executable shader precompilation. In some embodiments, the high-level shaders are compiled into low-level shaders during compilation of the 3D graphics application 1010. In some embodiments, the shader instructions 1012 are provided in an intermediate form, such as a version of the Standard Portable Intermediate Representation (SPIR) used by the Vulkan API.

In some embodiments, user mode graphics driver 1026 contains a back-end shader compiler 1027 to convert shader instructions 1012 into a hardware-specific representation. The OpenGL API, when in use, passes shader instructions 1012 in the GLSL high-level language to user-mode graphics driver 1026 for compilation. In some embodiments, the user mode graphics driver 1026 uses operating system kernel mode functions 1028 to communicate with the kernel mode graphics driver 1029. In some embodiments, the kernel mode graphics driver 1029 communicates with the graphics processor 1032 to dispatch commands and instructions.

IP check cash

One or more aspects of at least one embodiment may be implemented by representative code stored on a machine-readable medium which represents and/or defines logic within an integrated circuit such as a processor. For example, a machine-readable medium may contain instructions representing various logic within a processor. When read by a machine, the instructions may cause the machine to fabricate logic to perform the techniques described herein. Such a representation, referred to as an "IP core," is a reusable unit of logic for an integrated circuit that may be stored on a tangible, machine-readable medium as a hardware model that describes the structure of the integrated circuit. The hardware model may be supplied to various customers or manufacturing facilities that load the hardware model on fabrication machines that manufacture integrated circuits. An integrated circuit may be fabricated such that the circuit performs the operations described in association with any of the embodiments described herein.

Fig. 11A is a block diagram illustrating an IP core development system 1100 that may be used to fabricate integrated circuits to perform operations, according to an embodiment. The IP core development system 1100 may be used to generate a modular, reusable design that may be incorporated into a larger design or used to construct an entire integrated circuit (e.g., an SOC integrated circuit). Design facility 1130 may generate software simulation 1110 of an IP core design in a high-level programming language (e.g., C/C + +). Software simulation 1110 may be used to design, test, and verify the behavior of an IP core using simulation model 1112. Simulation model 1112 may include functional, behavioral, and/or timing simulations. A Register Transfer Level (RTL) design 1115 may then be created or synthesized from simulation model 1112. RTL design 1115 is an abstraction of the behavior of an integrated circuit that models the flow of digital signals between hardware registers, containing associated logic that is executed using the modeled digital signals. In addition to RTL design 1115, lower level designs at the logic level or transistor level may be created, designed, or synthesized. Thus, the specific details of the initial design and simulation may vary.

The RTL design 1115 or equivalent may be further synthesized by the design facility into a hardware model 1120, which hardware model 1120 may employ a Hardware Description Language (HDL) or some other representation of physical design data. The HDL may be further simulated or tested to verify the IP core design. Non-volatile memory 1140 (e.g., a hard disk, flash memory, or any non-volatile storage medium) may be used to store the IP core design for delivery to third party fabrication facility 1165. Alternatively, the IP core design may be communicated over a wired connection 1150 or a wireless connection 1160 (e.g., via the Internet). Fabrication facility 1165 may then fabricate an integrated circuit based at least in part on the IP core design. The integrated circuit fabricated may be configured to perform operations in accordance with at least one embodiment described herein.

Figure 11B illustrates a cross-sectional side view of an integrated circuit package assembly 1170 according to some embodiments described herein. Integrated circuit package assembly 1170 illustrates an implementation of one or more processor or accelerator devices as described herein. The package assembly 1170 includes multiple units of hardware logic 1172, 1174 connected to a substrate 1180. The logic 1172, 1174 may be implemented at least partially in configurable logic or fixed functionality logic hardware, and may include one or more portions of any of the processor core(s), graphics processor(s), or other accelerator device described herein. Each cell of logic 1172, 1174 may be implemented within a semiconductor die and coupled with a substrate 1180 via an interconnect structure 1173. Interconnect structure 1173 may be configured to route electrical signals between logic 1172, 1174 and substrate 1180, and may include interconnects such as, but not limited to, bumps (bumps) or pillars. In some embodiments, the interconnect fabric 1173 may be configured to route electrical signals, such as, for example, input/output (I/O) signals and/or power or ground signals associated with the operation of the logic 1172, 1174. In some embodiments, the substrate 1180 is an epoxy-based laminate substrate. In other embodiments, the substrate 1180 may comprise other suitable types of substrates. The package assembly 1170 may be connected to other electrical devices via package interconnect 1183. Package interconnect 1183 may be coupled to a surface of substrate 1180 to route electrical signals to other electrical devices, such as a motherboard, other chipset, or a multi-chip module.

In some embodiments, the units of logic 1172, 1174 are electrically coupled with a bridge 1182, the bridge 1182 configured to route electrical signals between logic 1172, 1174. Bridge 1182 may be a dense interconnect structure that provides routing (route) for electrical signals. The bridge 1182 may include a bridge substrate composed of glass or a suitable semiconductor material. Electrical routing features may be formed on the bridge substrate to provide chip-to-chip connections between the logic 1172, 1174.

Although two units of logic 1172, 1174 and bridge 1182 are shown, embodiments described herein may include more or fewer logic units on one or more dies. Since bridge 1182 may be excluded when logic is contained on a single die, one or more dies may be connected through zero or more bridges. Alternatively, multiple dies or logic units may be connected by one or more bridges. Additionally, multiple logic cells, dies, and bridges may be connected together in other possible configurations, including three-dimensional configurations.

Fig. 11C shows a package assembly 1190 that contains multiple units of hardware logic chiplets connected to a substrate 1180 (e.g., base die). A graphics processing unit, a parallel processor, and/or a compute accelerator as described herein may be constructed from a multiplicity of silicon chiplets that are individually fabricated. In this context, a chiplet is an at least partially packaged integrated circuit that includes different units of logic that can be assembled into a larger package with other chiplets. A diverse set of chiplets with different IP core logic can be assembled into a single device. In addition, active interposer (interposer) technology can be used to integrate the chiplet into the base die or base chiplet. The concepts described herein enable interconnection and communication between different forms of IP within a GPU. Different process technologies can be used to manufacture and build the IP core during manufacturing, which avoids the complexity of converging multiple IPs (especially on large socs with several feature (colors) IPs) to the same manufacturing process. Enabling the use of multiple process technologies improves time to market and provides a cost-effective way to create multiple product SKUs. In addition, disaggregated IP is more susceptible to being power gated independently, and components that are not in use on a given workload may be powered down, thereby reducing overall power consumption.

The hardware logic chiplets can include dedicated hardware logic chiplets 1172, logic or I/O chiplets 1174, and/or memory chiplets 1175. Hardware logic chiplet 1172 and logic or I/O chiplets 1174 can be implemented at least in part with configurable logic or fixed functionality logic hardware and can include one or more portions of processor core(s), graphics processor(s), parallel processors, or any of the other accelerator devices described herein. The memory chiplets 1175 can be DRAM (e.g., GDDR, HBM) memory or cache (SRAM) memory.

Each chiplet can be fabricated as a separate semiconductor die and coupled to the substrate 1180 via interconnect structures 1173. Interconnect structure 1173 can be configured to route electrical signals between the various chiplets and logic within substrate 1180. Interconnect structure 1173 may include interconnects such as, but not limited to, bumps or pillars. In some embodiments, interconnect fabric 1173 may be configured to route electrical signals, such as, for example, input/output (I/O) signals and/or power or ground signals associated with the operation of logic, I/O, and memory chiplets.

In some embodiments, the substrate 1180 is an epoxy-based laminate substrate. In other embodiments, the substrate 1180 may comprise other suitable types of substrates. The package assembly 1190 may be connected to other electrical devices via a package interconnect 1183. Package interconnect 1183 may be coupled to a surface of substrate 1180 to route electrical signals to other electrical devices, such as a motherboard, other chipset, or a multi-chip module.

In some embodiments, logic or I/O chiplet 1174 and memory chiplet 1175 can be electrically coupled via a bridge 1187, the bridge 1187 configured to route electrical signals between logic or I/O chiplet 1174 and memory chiplet 1175. Bridge 1187 may be a dense interconnect structure that provides routing for electrical signals. The bridge 1187 may include a bridge substrate composed of glass or a suitable semiconductor material. Circuit routing components can be formed on the bridge substrate to provide chip-to-chip connections between the logic or I/O chiplets 1174 and the memory chiplets 1175. Bridge 1187 may also be referred to as a silicon bridge or an interconnect bridge. For example, in some embodiments, bridge 1187 is an embedded multi-die interconnect bridge (EMIB). In some embodiments, bridge 1187 may simply be a direct connection from one chiplet to another.

The substrate 1180 may include hardware components for I/O1191, cache memory 1192, and other hardware logic 1193. Fabric 1185 may be embedded in substrate 1180 to enable communication between various logic chiplets and logic 1191, 1193 within substrate 1180. In one embodiment, I/O1191, fabric 1185, caches, bridges, and other hardware logic 1193 may be integrated into a base die that is stacked on top of substrate 1180.

In various embodiments, package assembly 1190 may include a fewer or greater number of components and chiplets interconnected by fabric 1185 or one or more bridges 1187. The chiplets within the package assembly 1190 can be arranged in a 3D or 2.5D arrangement. In general, bridge structure 1187 may be used to facilitate point-to-point interconnections between, for example, logic or I/O chiplets and memory chiplets. Fabric 1185 may be used to interconnect various logic and/or I/O chiplets (e.g., chiplets 1172, 1174, 1191, 1193) with other logic and/or I/O chiplets. In one embodiment, the in-substrate cache 1192 may serve as a global cache for the package assembly 1190, part of a distributed global cache, or as a private cache for the fabric 1185.

FIG. 11D illustrates a packaged assembly 1194 containing interchangeable chiplets 1195 according to an embodiment. The interchangeable chiplets 1195 can be assembled into standardized slots on one or more base chiplets 1196, 1198. The base chiplets 1196, 1198 can be coupled via a bridge interconnect 1197, which bridge interconnect 1197 can be similar to other bridge interconnects described herein and can be, for example, an EMIB. The memory chiplets can also be connected to logic or I/O chiplets via bridge interconnects. The I/O and logic chiplets can communicate via an interconnect fabric. The base chiplets can each support one or more slots in a standardized format for one of logic or I/O or memory/cache.

In one embodiment, the SRAM and power delivery circuits can be fabricated into one or more of the base chiplets 1196, 1198, which base chiplets 1196, 1198 can be fabricated using different process technologies relative to the interchangeable chiplets 1195 stacked over the base chiplets. For example, the base chiplets 1196, 1198 can be made using larger process technologies, while the interchangeable chiplets can be made using smaller process technologies. One or more of the interchangeable chiplets 1195 can be memory (e.g., DRAM) chiplets. Different memory densities may be selected for the packaged assembly 1194 based on power and/or performance for the product using the packaged assembly 1194. In addition, logic chiplets with different numbers of types of functional units can be selected at assembly based on power and/or performance for the product. In addition, chiplets containing different types of IP logic cores can be inserted into interchangeable chiplet slots, enabling hybrid processor designs that can mix and match different technology IP blocks.

Exemplary System-on-chip Integrated Circuit

Fig. 12-13 illustrate an example integrated circuit and associated graphics processor that may be fabricated using one or more IP cores, according to various embodiments described herein. Other logic and circuitry may be included in addition to that shown, including additional graphics processor/cores, peripheral interface controllers, or general purpose processor cores.

FIG. 12 is a block diagram illustrating an example system-on-chip integrated circuit 1200 that may be fabricated using one or more IP cores, according to an embodiment. The exemplary integrated circuit 1200 includes one or more application processors 1205 (e.g., CPUs), at least one graphics processor 1210, and may additionally include an image processor 1215 and/or a video processor 1220, any of which may be modular IP cores from the same or multiple different design facilities. Integrated circuit 1200 includes peripheral or bus logic including USB controller 1225, UART controller 1230, SPI/SDIO controller 1235, and I2S/I2C controller 1240. Additionally, the integrated circuit may include a display device 1245 coupled to one or more of a High Definition Multimedia Interface (HDMI) controller 1250 and a Mobile Industrial Processor Interface (MIPI) display interface 1255. Storage may be provided by a flash memory subsystem 1260 including flash memory and a flash memory controller. A memory interface may be provided via the memory controller 1265 for accessing SDRAM or SRAM memory devices. Some integrated circuits additionally include an embedded security engine 1270.

Fig. 13A-13B are block diagrams illustrating an exemplary graphics processor for use within a SoC according to embodiments described herein. FIG. 13A illustrates an example graphics processor 1310 of a system-on-chip integrated circuit that may be fabricated using one or more IP cores, according to an embodiment. FIG. 13B illustrates a further exemplary graphics processor 1340 of a system-on-chip integrated circuit that may be fabricated using one or more IP cores, according to an embodiment. Graphics processor 1310 of FIG. 13A is an example of a low power graphics processor core. Graphics processor 1340 of fig. 13B is an example of a higher performance graphics processor core. Each of the graphics processors 1310, 1340 may be a variation of the graphics processor 1210 of fig. 12.

As shown in FIG. 13A, graphics processor 1310 includes a vertex processor 1305 and one or more fragment processors 1315A-1315N (e.g., 1315A, 1315B, 1315C, 1315D through 1315N-1, and 1315N). Graphics processor 1310 may execute different shader programs via separate logic, such that vertex processor 1305 is optimized to perform operations for vertex shader programs, while one or more fragment processors 1315A-1315N perform fragment (e.g., pixel) shading operations for fragment or pixel shader programs. Vertex processor 1305 executes the vertex processing stages of the 3D graphics pipeline and generates primitive and vertex data. The fragment processor(s) 1315A-1315N use the primitives and vertex data generated by the vertex processor 1305 to produce a frame buffer (framebuffer) for display on a display device. In one embodiment, fragment processor(s) 1315A-1315N are optimized to execute fragment shader programs as provided in the OpenGL API, which can be used to perform similar operations as for pixel shader programs as provided in the Direct3D API.

Graphics processor 1310 additionally includes one or more Memory Management Units (MMUs) 1320A-1320B, cache(s) 1325A-1325B, and circuit interconnect(s) 1330A-1330B. The one or more MMUs 1320A-1320B provide virtual address to physical address mapping for the graphics processor 1310, including for the vertex processor 1305 and/or the fragment processor(s) 1315A-1315N, which may reference vertex or image/texture data stored in memory in addition to vertex or image/texture data stored in one or more caches 1325A-1325B. In one embodiment, one or more MMUs 1320A-1320B may be synchronized with other MMUs within the system, including one or more MMUs associated with one or more application processors 1205, image processors 1215, and/or video processors 1220 of FIG. 12, such that each processor 1205-1220 may participate in a shared or unified virtual memory system. According to an embodiment, one or more circuit interconnects 1330A-1330B enable graphics processor 1310 to interface with other IP cores within the SoC via the SoC's internal bus or via a direct connection.

As shown in FIG. 13B, graphics processor 1340 includes one or more MMUs 1320A-1320B, cache(s) 1325A-1325B and circuit interconnect(s) 1330A-1330B of graphics processor 1310 of FIG. 13A. Graphics processor 1340 includes one or more shader cores 1355A-1355N (e.g., 1355A, 1355B, 1355C, 1355D, 1355E, 1355F through 1355N-1 and 1355N) that provide a unified shader core architecture in which a single core or type or core can execute all types of programmable shader code, including shader program code for implementing vertex shaders, fragment shaders, and/or compute shaders. The exact number of shader cores present may vary between embodiments and implementations. In addition, the graphics processor 1340 includes: an inter-core task manager 1345, the inter-core task manager 1345 acting as a thread dispatcher for dispatching execution threads to one or more shader cores 1355A-1355N; and a tiling unit (tiling unit) 1358 for accelerating tiling operations (tiling operations) for tile-based rendering in which rendering operations for a scene are subdivided in image space, e.g., to exploit local spatial coherence within the scene or to optimize internal cache usage.

Fig. 14 illustrates one embodiment of a computing device 1400 that includes a cloud game adaptive synchronization (or adaptive synchronization) mechanism 1410. The computing device 1400 (e.g., a smart wearable device, a Virtual Reality (VR) device, a Head Mounted Display (HMD), a mobile computer, an internet of things (IoT) device, a laptop computer, a desktop computer, a server computer, etc.) may be the same as the processing system 100 of fig. 1, and thus, many of the details set forth above with reference to fig. 1-13 are not discussed or repeated further herein for the sake of brevity, clarity, and ease of understanding. As shown, in one embodiment, computing device 1400 is shown hosting adaptive synchronization 1410.

The computing device 1400 may include any number and type of communication devices, such as a mainframe computing system, such as a server computer, desktop computer, etc., and may also include a set-top box (e.g., an internet-based cable set-top box, etc.), a Global Positioning System (GPS) based device, etc. Computing device 1400 may include a mobile computing device acting as a communication device, such as a cellular telephone including a smartphone, Personal Digital Assistant (PDA), tablet computer, laptop computer, e-reader, smart television, television platform, wearable device (e.g., glasses, watch, bracelet, smart card, jewelry, clothing item, etc.), media player, and so forth. For example, in one embodiment, computing device 1400 may comprise a mobile computing device employing a computer platform hosting an integrated circuit ("IC"), such as a system on a chip ("SoC" or "SoC"), integrating various hardware and/or software components of computing device 1400 on a single chip.

As shown, in one embodiment, computing device 1400 may include any number and type of hardware and/or software components, such as, but not limited to, a GPU 1414, a graphics driver (also referred to as a "GPU driver," "graphics driver logic," "driver logic," User Mode Driver (UMD), UMD, User Mode Driver Framework (UMDF), UMDF, or simply "driver") 1416, a CPU 1412, a memory 1408, network devices, drivers, etc., and input/output (I/O) sources 1404, such as a touchscreen, touch panel, touchpad, virtual or conventional keyboard, virtual or conventional mouse, port, connector, etc.

The computing device 1400 may include an Operating System (OS) 1406 that acts as an interface between hardware and/or physical resources of the computing device 1400 and a user. It is contemplated that CPU 1412 may include one or more processors and GPU 1414 may include one or more graphics processors.

It should be noted that throughout this document, terms like "node," "computing node," "server device," "cloud computer," "cloud server computer," "machine," "host machine," "device," "computing device," "computer," "computing system," and the like may be used interchangeably. It is also noted that terms like "application," "software application," "program," "software program," "package," "software package," and the like may be used interchangeably throughout this document. Further, terms like "job," "input," "request," "message," and the like may be used interchangeably throughout this document.

Furthermore, terms like "logic," "component," "module," "engine," "model," "unit," and the like may be referred to interchangeably and include, by way of example, software, hardware, and/or any combination of software and hardware, such as firmware. Moreover, any use of a particular brand, word, term, phrase, name, and/or acronym should not be construed as limiting the embodiment to software or apparatus that carries the label in a product or document other than that document.

It is contemplated, and as further described with reference to fig. 1-13, that some of the processes of the graphics pipeline described above are implemented in software, while the rest are implemented in hardware. The graphics pipeline may be implemented in a graphics coprocessor design, where CPU 1412 is designed to work with a GPU 1414 that may be included in CPU 1412 or co-located with CPU 1412. In one embodiment, GPU 1414 may employ any number and type of conventional software and hardware logic to perform conventional functions associated with graphics rendering, as well as novel software and hardware logic to perform any number and type of instructions.

As described above, memory 1408 may include Random Access Memory (RAM) including an application database having object information. The memory controller hub may access the data in RAM and forward it to GPU 1414 for graphics pipeline processing. The RAM may include double data rate RAM (DDR RAM), extended data output RAM (EDO RAM), and the like. CPU 1412 interacts with the hardware graphics pipeline to share graphics pipeline functionality.

The processed data is stored in buffers in the hardware graphics pipeline and the state information is stored in memory 1408. The resulting image is then passed to an I/O source 1404, such as a display component for displaying the image. It is contemplated that the display device may have various types, such as a Cathode Ray Tube (CRT), a Thin Film Transistor (TFT), a Liquid Crystal Display (LCD), an Organic Light Emitting Diode (OLED) array, etc., to display information to a user.

Memory 1408 may include a pre-allocated area of buffer (e.g., frame buffer); however, those skilled in the art will appreciate that embodiments are not so limited and any memory accessible by the lower graphics pipeline may be used. Computing device 1400 may also include a Platform Controller Hub (PCH) 130, as referenced in fig. 1, as one or more I/O sources 1404, and the like.

CPU 1412 may include one or more processors to execute instructions in order to execute any software routines implemented by the computing system. An instruction often involves some operation being performed on data. Both data and instructions may be stored in system memory 1408 and any associated caches. Caches are typically designed to have a shorter latency time than system memory 1408; for example, the cache may be integrated onto the same silicon chip(s) as the processor(s) and/or constructed with faster static ram (sram) cells, while the system memory 1408 may be constructed with slower dynamic ram (dram) cells. By tending to store more frequently used instructions and data in caches as opposed to system memory 1408, the overall performance efficiency of computing device 1400 is improved. It is contemplated that in some embodiments, GPU 1414 may exist as part of CPU 1412 (such as part of a physical CPU package), in which case memory 1408 may be shared by CPU 1412 and GPU 1414 or kept separate.

The system memory 1408 may be made available to other components within the computing device 1400. For example, any data (e.g., input graphics data) received from various interfaces of the computing device 1400 (e.g., keyboard and mouse, printer port, Local Area Network (LAN) port, modem port, etc.) or retrieved from an internal storage element of the computing device 1400 (e.g., a hard disk drive) is typically temporarily queued into the system memory 1408 prior to its operation in the implementation of a software program by one or more processors. Similarly, data that the software program determines should be sent from the computing device 1400 to an external entity through one of the computing system interfaces, or stored into an internal storage element, is typically temporarily queued in the system memory 1408 before it is transferred or stored.

Further, for example, the PCH may be used to ensure that such data is properly passed between system memory 1408 and its appropriate corresponding computing system interface (and internal storage if the computing system is so designed), and may have a bidirectional point-to-point link between itself and the observed I/O source/device 1404. Similarly, the MCH may be used to manage various contention requests for system memory 1408 accesses, interfaces, and internal storage elements among the CPUs 1412 and GPUs 1414, which may occur close in time relative to each other.

The I/O source 1404 may include one or more I/O devices implemented to transfer data to and/or from the computing device 1400 (e.g., a networking adapter); or for large-scale non-volatile storage (e.g., hard disk drives) within the computing device 1400. User input devices, including alphanumeric and other keys, may be used to communicate information and command selections to GPU 1414. Another type of user input device is cursor control, such as a mouse, trackball, touch screen, touch pad, or cursor direction keys to communicate direction information and command selections to GPU 1414 and to control cursor movement on a display device. The camera and microphone array of the computing device 1400 may be employed to observe gestures, record audio and video, and receive and transmit visual and audio commands.

Computing device 1400 may also include network interface(s) to provide access to networks, such as LANs, Wide Area Networks (WANs), Metropolitan Area Networks (MANs), Personal Area Networks (PANs), bluetooth, cloud networks, mobile networks (e.g., 3 rd generation (3G), 4 th generation (4G), etc.), intranets, the internet, and the like. The network interface(s) may include, for example, a wired network interface having an antenna, which may represent one or more antennas. The network interface(s) may include, for example, a wired network interface to communicate with remote devices via a network cable, which may be, for example, an ethernet cable, a coaxial cable, a fiber optic cable, a serial cable, or a parallel cable.

The network interface(s) may provide access to a LAN, such as by conforming to IEEE 802.11b and/or IEEE 802.11g standards, and/or the wireless network interface may provide access to a personal area network, such as by conforming to a bluetooth standard. Other wireless network interfaces and/or protocols may also be supported, including previous and subsequent versions of the standard. In addition to, or in lieu of, communication via wireless LAN standards, the network interface(s) may provide wireless communication using, for example, Time Division Multiple Access (TDMA) protocols, global system for mobile communications (GSM) protocols, Code Division Multiple Access (CDMA) protocols, and/or any other type of wireless communication protocol.

The network interface(s) may include one or more communication interfaces such as a modem, a network interface card, or other well-known interface devices such as those used for coupling to ethernet, token ring, or other types of physical wired or wireless accessories to provide a communication link to support, for example, a LAN or WAN. In this manner, the computer system may also be coupled to a number of peripheral devices, clients, control planes, consoles, or servers via a conventional network infrastructure, including, for example, an intranet or the internet.

It should be appreciated that for some implementations, a system that is less or more equipped than the above examples may be preferred. Thus, the configuration of computing device 1400 may vary from implementation to implementation depending on numerous factors, such as price constraints, performance requirements, technological improvements, or other circumstances. Examples of electronic device or computer system 1400 may include, but are not limited to, a mobile device, a personal digital assistant, a mobile computing device, a smartphone, a cellular telephone, a handset, a one-way pager, a two-way pager, a messaging device, a computer, a Personal Computer (PC), a desktop computer, a laptop computer, a notebook computer, a handheld computer, a tablet computer, a server array or server farm, a web server, a network server, an Internet server, a workstation, a minicomputer, a mainframe computer, a supercomputer, a network device, a web appliance, a distributed computing system, multiprocessor systems, processor-based systems, consumer electronics, programmable consumer electronics, television, digital television, set-top box, wireless access point, base station, subscriber station, mobile subscriber center, a network appliance, a distributed computing system, a multiprocessor system, a processor-based system, a consumer electronics system, a programmable consumer electronics system, television, a digital television, a set-top box, a wireless access point, a base station, a subscriber station, a mobile subscriber center, a network, a radio network controller, router, hub, gateway, bridge, switch, machine, or a combination thereof.

Embodiments may be implemented as any or a combination of the following: one or more microchips or integrated circuits interconnected using a motherboard, hardwired logic, software stored by a memory device and executed by a microprocessor, firmware, an Application Specific Integrated Circuit (ASIC), and/or a Field Programmable Gate Array (FPGA). The term "logic" may include, by way of example, software or hardware and/or combinations of software and hardware.

Embodiments may be provided, for example, as a computer program product that may include one or more machine-readable media having stored thereon machine-executable instructions that, when executed by one or more machines such as a computer, network of computers, or other electronic devices, may result in the one or more machines performing operations in accordance with embodiments described herein. The machine-readable medium may include, but is not limited to, floppy diskettes, optical disks, CD-ROMs (compact disc-read only memory) and magneto-optical disks, ROMs, RAMs, EPROMs (erasable programmable read only memory), EEPROMs (electrically erasable programmable read only memory), magnetic or optical cards, flash memory, or other type of media/machine-readable medium suitable for storing machine-executable instructions.

Moreover, embodiments may be downloaded as a computer program product, wherein the program may be transferred from a remote computer (e.g., a server) to a requesting computer (e.g., a client) by way of one or more data signals embodied in and/or modulated by a carrier wave or other propagation medium via a communication link (e.g., a modem and/or network connection).

According to one embodiment, computing device 1400 operates in a cloud environment as a hosting organization that provides cloud computing services to clients at computing devices 1430A-N via one or more networks 1435. In one embodiment, each client subscribing to a cloud gaming service provided by a host organization. In such embodiments, the computing device 1400 may comprise a server computer, which may be further in communication with one or more databases or repositories, which may be located locally or remotely over one or more networks, such as the network(s) 1435 (e.g., cloud network, internet, proximity network, intranet, internet of things ("IoT"), internet of things ("CoT"), fog computing, etc.).

Computing device 1400 is also shown in communication with any number and type of other computing devices, such as client computing devices 1430A-N, over one or more networks, such as network(s) 1435. In one embodiment, the computing device 1400 may act as a service provider core for hosting the weighted adaptive syncs 1410 as software as a service (SaaS) and communicate with one or more client computers 1430A-N over one or more networks 1435 and any number and type of dedicated nodes.

As described above, current cloud gaming solutions do not implement adaptive synchronization capabilities. Without adaptive synchronization capability, content having Frames Per Second (FPS) higher than the refresh rate of the display device panel (or panels) at the client computing device 1430 (or client) results in tearing artifacts. Screen tearing is a visual artifact where a display device displays information from multiple frames in a single screen drawing. Artifacts can occur whenever the video fed to the device is not synchronized with the refresh rate of the display.

According to one embodiment, adaptive synchronization mechanism 1410 facilitates a cloud gaming experience to deliver game content at variable Frames Per Second (FPS). In such embodiments, the adaptive synchronization mechanism 1410 uses the adaptive synchronization capabilities of the client panel to deliver tear-free content. Fig. 15A and 15B illustrate embodiments of server 1400 and client 1430 computing devices.

As shown in fig. 15A, server 1400 includes a virtual display 1510, a GPU/driver 1520, a game application 1530, and an encoder/transmitter 1540. The game application 1530 includes game content that is rendered by the OS/GPU/driver 1520 for the virtual display 1510. The game content includes streaming frames of game video data that are rendered, encoded, and transmitted to client 1430 at encoder/transmitter 1540.

As shown in fig. 15B, client 1430 includes receiver/decoder 1550, game player application 1560, client OS/GPU/driver 1570 and display 1580. In one embodiment, receiver/decoder 1150 receives and decodes encoded game content from encoder/transmitter 1540 at server 1400. The game content is then received and processed at the game player application 1560. Additionally, the game player application 1560 may receive user input data (e.g., mouse, keyboard, joystick, touch screen, etc.). The processed game content is then rendered at client GPU/driver 1570 for display at display device 1580.

According to one embodiment, the game player application 1560 at the server 1400 includes an adaptive synchronization engine 1565 implemented to perform adaptive synchronization operations at the display 1580 to eliminate tearing and stutter effects during display of game content. In such embodiments, display device 1580 at client 1430 supports vertical synchronization in which GPU/driver 1570 is prevented from performing visible operations on display memory until after display device 1580 completes the current refresh cycle. The vertical sync separates the video fields and performs the vertical sync pulse within the vertical blanking interval (e.g., the time between the end of the last visible line of a frame or field and the beginning of the first visible line of the next frame). During the vertical blanking interval, GPU/driver 1570 performs page flips (or synchronous flips) by switching between two or more displayable memory regions.

In one embodiment, the adaptive synchronization engine 1565 activates vertical synchronization only when the frame rate of the game player application 1560 exceeds the refresh rate of the display device 1580. Otherwise, vertical synchronization is disabled, thereby eliminating discontinuities that may occur when the frame rate drops below the refresh rate of the display device 1580. Fig. 16 illustrates one embodiment of a vertical blanking interval (Vblank) technique performed by the adaptive synchronization engine 1565.

As shown in fig. 16, Vblank includes an active region 1620 (e.g., A, B and C) and a blanking region 1640. In one embodiment, time is shown vertically in milliseconds (ms), while the height of the active area 1620 and the blanking area 1640 are shown as time rather than vertical lines. Typical refresh rate minimum/maximum limits for panels are typically 40-144Hz, with 60Hz being the standard. Further, a tear-free experience is provided when the Frames Per Second (FPS) is less than the maximum refresh rate of the panel. Thus, the no-tear experience of game 1560 occurs between 60-144Hz (assuming a panel refresh rate of 40-144). Similarly, when the game FPS is below 60, a discontinuity (e.g., frame repetition) occurs due to the frames repeated therebetween. The adaptive synchronization engine 1565 addresses this problem by increasing blanking (e.g., decreasing refresh rate).

According to one embodiment, the game application 1530 at the server 1400 also includes an adaptive synchronization engine (engine 1535). In such embodiments, the adaptive sync engine 1535 receives the adaptive refresh rate range for the display device 1580 at the client 1430. As a result, the adaptive synchronization engine 1535 enables the game application 1530 to generate a variable rate (e.g., FPS) for frames of game content to eliminate frames that cannot be displayed at the client 1430.

In another embodiment, encoder/transmitter 1540 encodes the variable FPS frame with a corresponding frame presentation timestamp (or frame timestamp) prior to transmission to client 1430. In this embodiment, a time stamp is assigned to each frame during encoding. Upon receiving the game content stream, receiver/decoder 1550 decodes the encoded game data to obtain the variable FPS frame and associated timestamp. Thus, the time stamp is used to identify the variable FPS frame rate of the data. In one embodiment, the timestamps include presentation timestamps that are implemented to synchronize the frames by the timing of the encoding of the FPS frames.

Once the frame has been decoded, the adaptive synchronization engine 1565 at the game player application 1560 uses this data to perform adaptive synchronization flips to facilitate displaying the frame in accordance with the adaptive synchronization capabilities provided by the display device 1580. For example, once decoding has been completed, the client can flip instead of waiting for the correct vertical synchronization interval. Thus, the adaptive synchronization engine 1565 uses timestamps to notify clients of variable frame rates to enable frames to be accurately submitted for presentation at the display 1580.

FIG. 17 is a flow diagram illustrating one embodiment of a process for performing cloud game adaptive synchronization. At process block 1710, the adaptive synchronization capabilities of the display panel at the client 1430 communicate with the server 1400. In one embodiment, the communication is performed by communicating the panel adaptive refresh rate from client 1430 to server 1400. At processing block 1720, game processing is performed at the server 1400.

According to one embodiment, server 1400 game processing includes rendering game frame data, processing block 1722. As described above, the adaptive refresh rate information is used to render frames according to a variable FPS frame rate to eliminate frames that cannot be displayed at client 1430. At processing block 1724, variable rate encoding is performed on the frame. As described above, variable rate encoding is performed by assigning a time stamp to each frame of a data stream. At processing block 1726, the encoded frame is transmitted.

At processing block 1730, game processing is performed at client 1430. According to one embodiment, client 1430 game processing includes receiving an encoded frame data stream from server 1400 (e.g., via a network), processing block 1732. At processing block 1734, the frame data is decoded. As described above, the decoded data includes variable FPS frames and associated timestamps. At processing block 1736, an adaptive synchronous flipping operation is performed using the variable FPS frame. At processing block 1738, the frame is displayed according to the adaptive synchronization capabilities of the client panel. FIG. 18 is a flow diagram illustrating another embodiment of a process for performing cloud game adaptive synchronization.

Table 1 describes various scenarios in which roll-over (e.g., synchronized and unsynchronized) is currently available and adaptive cloud game synchronization is enabled. For illustrative purposes, consider the range of 40-144Hz, which is based on the currently available adaptive synchronization panel capabilities. As shown in Table 1, providing the server side with client panel-capable information enables the server to determine the encoding for scenarios 5-7, with the main advantage occurring in scenario 6, where the end user at the client with the adaptive synchronization panel will receive a smooth, tear-free gaming experience for FPS of 40-144.

The following clauses and/or examples pertain to additional embodiments or examples. In one or more embodiments, the details in the examples may be used anywhere. Various features of different embodiments or examples may be combined differently with some features included and other features excluded to suit various different applications. Examples may include subject matter, such as a method, means for performing acts of the method, at least one machine readable medium comprising instructions, which when executed by a machine, cause the machine to perform acts of the method, or acts of a device or system for facilitating hybrid communications in accordance with embodiments and examples described herein.

Some embodiments are directed to example 1, which includes an apparatus for facilitating processing cloud game data, comprising one or more processors to receive a plurality of frames of game video data, render the plurality of frames to have a variable frame rate, encode the plurality of frames into encoded game data, and transmit the encoded game data to a client computing device.

Example 2 includes the subject matter of example 1, wherein encoding the plurality of frames comprises encoding each of the plurality of frames with a presentation timestamp.

Example 3 includes the subject matter of examples 1 and 2, wherein the one or more processors receive an adaptive refresh rate range for a display device at the client computing device.

Example 4 includes the subject matter of examples 1-3, wherein the adaptive refresh rate range of the display device is to render the plurality of frames at the variable frame rate.

Example 5 includes the subject matter of examples 1-4, wherein rendering the plurality of frames at the variable frame rate using the adaptive refresh rate range eliminates frames that cannot be displayed at the client computing device.

Example 6 includes the subject matter of examples 1-5, wherein the variable frame rate comprises a variable frame per second rate.

Some embodiments are directed to example 7, which includes an apparatus to facilitate processing cloud game data, comprising one or more processors to receive encoded game data from a server computing device, decode the game data into a plurality of frames of game video data having a variable frame rate, and render the plurality of frames into game video data, including performing an adaptive synchronous flipping operation using the variable frame rate.

Example 8 includes the subject matter of example 7, wherein the decoded game data further comprises a plurality of timestamps.

Example 9 includes the subject matter of examples 7 and 8, wherein each of the plurality of timestamps is associated with a frame of the plurality of frames.

Example 10 includes the subject matter of examples 7-9, wherein the one or more processors are to display the game video data at a display device.

Example 11 includes the subject matter of examples 7-10, wherein the game data is displayed according to adaptive synchronization capabilities of the display device.

Some embodiments are directed to example 12 comprising at least one computer-readable medium having instructions stored thereon, which when executed by one or more processors, cause the processors to: receiving a plurality of frames of game video data; rendering the plurality of frames to have a variable frame rate; encoding the plurality of frames into encoded game data; and transmitting the encoded game data to a client computing device.

Example 13 includes the subject matter of example 12, wherein encoding the plurality of frames comprises encoding each of the plurality of frames with a presentation timestamp.

Example 14 includes the subject matter of examples 12 and 13, having instructions stored thereon, which when executed by one or more processors further cause the processors to receive an adaptive refresh rate range for a display device at the client computing device.

Example 15 includes the subject matter of examples 12-14, wherein the adaptive refresh rate range of the display device is to render the plurality of frames at the variable frame rate.

Example 16 includes the subject matter of examples 12-15, wherein rendering the plurality of frames at the variable frame rate using the adaptive refresh rate range eliminates frames that cannot be displayed at the client computing device.

Some embodiments relate to example 17, which includes a method for facilitating processing cloud game data, comprising: receiving encoded game data from a server computing device; decoding the game data into a plurality of frames of game video data having a variable frame rate; and rendering the plurality of frames as game video data, including performing an adaptive synchronized flipping operation using the variable frame rate.

Example 18 includes the subject matter of example 17, wherein the decoded game data further includes a plurality of timestamps.

Example 19 includes the subject matter of examples 17 and 18, wherein each of the plurality of timestamps is associated with a frame of the plurality of frames.

Example 20 includes the subject matter of examples 17-19, further comprising displaying the game video data at a display device according to an adaptive synchronization capability of the display device.

The invention has been described above with reference to specific embodiments. However, it will be evident to those skilled in the art that various modifications and changes may be made thereto without departing from the broader spirit and scope of the invention as set forth in the appended claims. The foregoing description and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.

66页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种游戏数据的处理方法、电子设备及存储介质

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类