apparatus and method for automated optical inspection of substrates

文档序号:1785771 发布日期:2019-12-06 浏览:36次 中文

阅读说明:本技术 用于基板的自动光学检查的设备和方法 (apparatus and method for automated optical inspection of substrates ) 是由 马蒂亚斯·赫曼尼斯 斯蒂芬·班格特 托马索·维尔切斯 塞巴斯蒂安·巩特尔·臧 于 2018-03-12 设计创作,主要内容包括:提供一种用于基板的光学检查的系统。此系统包括至少第一处理腔室及第二处理腔室。此系统包括至少传送腔室,用以从第一处理腔室接收基板及用以传送所述基板至第二处理腔室。传送腔室设置有检查装置,检查装置用以对在第一处理腔室中处理的基板执行光学检查。(A system for optical inspection of a substrate is provided. The system includes at least a first processing chamber and a second processing chamber. The system includes at least a transfer chamber for receiving a substrate from a first processing chamber and for transferring the substrate to a second processing chamber. The transfer chamber is provided with an inspection device for performing optical inspection on the substrate processed in the first processing chamber.)

1. An apparatus for optical inspection of substrates processed in at least a first and a second processing chamber, the apparatus comprising:

An inspection device to perform optical inspection of the substrate processed in the first process chamber between the first process chamber and the second process chamber.

2. The apparatus of claim 1, the device configured for the optical inspection of a substrate in a substantially vertical position.

3. Apparatus according to any of the preceding claims, wherein the inspection device detects a deviation mask value, the deviation mask value corresponding to a relative position of the substrate with respect to a mask element.

4. The apparatus according to any of the preceding claims, wherein the inspection device comprises at least:

a light source to illuminate the substrate;

One or more image capture devices to capture one or more images of at least a portion of the substrate; and

the processing device is used for processing the captured image.

5. The apparatus of any of the preceding claims, wherein the examination device further comprises at least an optical element 68 for detecting fluorescence.

6. An apparatus according to any preceding claim, wherein the inspection device is positioned to inspect the substrate maintained under vacuum conditions.

7. apparatus according to any preceding claim, wherein the inspection device is an in-line inspection system.

8. A system for optical inspection of a substrate, the system comprising:

At least a first processing chamber and a second processing chamber; and

At least a transfer chamber to receive the substrate from the first processing chamber and to transfer the substrate to the second processing chamber;

Wherein the transfer chamber is provided with an inspection device to perform optical inspection of the substrate processed in the first processing chamber.

9. A method for in-line optical inspection of a substrate, the method comprising:

Receiving the substrate from a first processing chamber;

Performing an optical inspection of the substrate processed according to deposition parameters in the first processing chamber;

Obtaining information data of the quality of the substrate;

Returning the information data to the first processing chamber; and

The deposition parameters are adapted to process a subsequent substrate in the first processing chamber.

10. The method of claim 9, wherein performing the optical inspection comprises:

Illuminating the substrate;

Capturing an image of at least a portion of the substrate; and

Processing images of the substrate taken under different light conditions.

11. the method of claim 10, wherein the portion of the substrate comprises device pixels and/or control pixels.

12. The method of any of claims 9 to 11, wherein obtaining information data comprises calculating a deviation mask value, the deviation mask value corresponding to a relative position of the substrate with respect to a mask element, the mask element being located between the substrate and a deposition source.

13. The method of claim 12, further comprising calculating a deviation mask value for the substrate by averaging the information data from captured images taken by a plurality of image capture devices and taken for a plurality of portions of the substrate.

14. The method of any of claims 9 to 13, wherein performing the optical inspection comprises taking at least an image of the substrate under fluorescent illumination.

15. A system for aligning a position of a substrate relative to a mask element coupled to the substrate, wherein the substrate and the mask element are in a substantially vertical position, the system comprising:

An inspection device to optically inspect a relative position of the mask element with respect to the substrate, the mask element being used to process the substrate in a process chamber, and the inspection device being located after the process chamber;

processing means for calculating corresponding deviation mask values; and

An adjustment device to adjust the position of the mask element relative to the substrate in response to the calculated offset mask value.

Technical Field

Several embodiments of the present invention relate to apparatus, systems, and methods for optical inspection of substrates. Furthermore, embodiments of the present disclosure relate to apparatus, systems, and methods for optical inspection on a substrate line in a manufacturing system, and to systems to align a position of a substrate relative to a mask element.

Background

Several methods are known for depositing materials on a substrate. By way of example, the substrate may be coated using an evaporation process, a Physical Vapor Deposition (PVD) process, or a Chemical Vapor Deposition (CVD) process. The physical vapor deposition process is, for example, a sputtering process, a spraying process, or the like. The process may be performed in a processing chamber of a deposition apparatus in which the substrate to be coated is positioned. The deposition material is provided in a process chamber. A variety of materials may be used to deposit on the substrate, such as organic materials, molecules, metals, oxides, nitrides, and carbides. Further, other processes such as etching, forming (structuring), annealing (annealing), or the like may be performed in the processing chamber.

For example, for large area substrates, such as in display manufacturing techniques, coating processes may be considered. The coated substrate can be used in several applications and in several technical fields. For example, one application may be an Organic Light Emitting Diode (OLED) panel. Other applications include insulating panels, microelectronics such as semiconductor devices, substrates with Thin Film Transistors (TFTs), color filters, or the like. OLEDs are solid-state devices, consisting of thin films of (organic) molecules. Thin films of (organic) molecules generate light from the application of electricity. By way of example, OLED displays may provide a bright display on an electronic device and use less power than, for example, Liquid Crystal Displays (LCDs). In the processing chamber, organic molecules are generated (e.g., evaporated, sputtered, or sprayed, etc.) and deposited as a layer on the substrate. The particles may be patterned, for example, by passing through a mask having a border or a specific pattern to deposit material at a desired location on the substrate, such as to form an OLED pattern on the substrate.

One aspect relating to the quality of the processed substrate, in particular the quality of the deposited layer, is the alignment of the substrate with respect to the mask. As an example, the alignment should be accurate and stable to achieve good processing results. For this purpose, reference points (fiducials) on the substrate and on the mask are used to properly align the mask and the substrate prior to the deposition process. However, the relationship between these reference points may be susceptible to external disturbances, such as vibrations, manufacturing tolerances, handling, deformations, etc. Accordingly, Automatic Optical Inspection (AOI) may be provided to obtain so-called "offset values". The offset value defines how the mask and substrate must be aligned to match the mask pattern to the backplate (e.g., substrate) pattern.

The solution using automatic optical inspection is efficient when the substrate is coated in a horizontal position. For example, AOI inspection in a horizontal position may use measurements at the end of a production line for virtual (dummy) glass deposition to transmit feedback to adjust mask offsets.

When the substrate and mask are maintained in a substantially vertical position during deposition, additional aspects appear to affect the alignment between the mask and substrate. Automated optical inspection at the end of a conventional line is less efficient. In fact, since both the substrate and the mask in a vertical system are subject to gravitational forces acting in the same direction of the system configuration, especially for large area substrates, such forces can cause relative offsets between the substrate and the mask. Further, for processing, the substrate may be moved from a horizontal configuration to a vertical configuration and vice versa. This may affect the alignment of the substrate relative to the mask. In this case, standard AOI inspection in the chamber at the end of the line, exemplified by deposition with virtual glass, may be insufficient.

In view of the foregoing, there is a need for an apparatus, system, and method that can provide improved automated optical inspection of substrates, even when coating is performed in a vertical position.

Disclosure of Invention

according to one embodiment, an apparatus for optical inspection is presented. The apparatus is configured to inspect substrates processed in at least a first processing chamber and a second processing chamber. The apparatus includes an inspection device for performing optical inspection of a substrate processed in a first process chamber between the first process chamber and a second process chamber.

according to another embodiment, a system for optical inspection of a substrate is presented. The system includes at least a first processing chamber and a second processing chamber; and at least a transfer chamber for receiving the substrate from the first processing chamber and for transferring the substrate to the second processing chamber, wherein the transfer chamber is provided with an inspection device for performing optical inspection of the substrate processed in the first processing chamber.

According to another embodiment, a method for in-line optical inspection of a substrate is presented. The method includes receiving a substrate from a first processing chamber; performing an optical inspection on a substrate processed according to deposition parameters in a first processing chamber; acquiring information data of the quality of the substrate; returning the information data to the first processing chamber; and adapting (adapting) the deposition parameters for processing a subsequent substrate in the first processing chamber.

According to another embodiment, a system for aligning a position of a substrate relative to a mask element coupled to the substrate is provided. The substrate and the mask element are in a substantially vertical position. The system includes an inspection device for optically inspecting a relative position of a mask element with respect to a substrate, the mask element being used to process the substrate in a process chamber, and the inspection device being located behind the process chamber; processing means for calculating corresponding deviation mask values; and adjusting means for adjusting the position of the mask element relative to the substrate in response to the calculated deviation mask value.

drawings

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments. The drawings relate to several embodiments of the disclosure and are illustrated below:

FIG. 1 shows a schematic side view of a manufacturing system to process a substrate in a vertical position;

FIG. 2 shows a schematic diagram of a deposition process for fabricating an OLED on a substrate;

FIG. 3A shows a schematic front view of a holding arrangement to support a substrate and a mask in a vertical orientation during layer deposition in a processing chamber;

FIG. 3B shows a schematic side view of the support arrangement of FIG. 3A;

FIG. 4 is a schematic diagram showing details of a substrate coupled to a mask and a corner of the substrate;

fig. 5 shows a schematic representation of an apparatus for optical inspection of a substrate according to an embodiment of the present disclosure;

FIG. 6 shows a schematic representation of an inspection apparatus according to an embodiment of the present disclosure;

FIG. 7 shows a schematic representation of a system for optical inspection of a substrate according to an embodiment of the present disclosure;

FIG. 8 shows a flow diagram of a method for in-line optical inspection of a substrate according to an embodiment of the present disclosure;

FIG. 9 shows a schematic view of a system to align a position of a substrate relative to a mask element according to an embodiment of the present disclosure;

FIG. 10A shows a schematic view of a vacuum manufacturing system having two or more vacuum cluster chambers and a plurality of process chambers connected to one or more of the vacuum cluster chambers, according to an embodiment of the present disclosure; and

Fig. 10B illustrates a schematic view of the vacuum manufacturing system of fig. 10A, and illustrates an example substrate transport and substrate flow in the vacuum manufacturing system according to embodiments of the present disclosure.

Detailed Description

Reference will now be made in detail to the various embodiments of the disclosure, one or more examples of which are illustrated in the figures. In the description below of the figures, like reference numerals refer to like parts. Only the differences with respect to the individual embodiments are described. Each example is provided by way of explanation of the disclosure, and is not meant as a limitation of the disclosure. Furthermore, features illustrated or described as part of one embodiment can be used on or in conjunction with other embodiments to yield yet a further embodiment. It is intended that the present description include such modifications and variations.

Embodiments described herein may be used to inspect large area coated substrates, such as displays for manufacturing. The substrate or substrate receiving area may be a large area substrate having a size of, for example, 1m2 or more, the substrate or substrate receiving area configured for use with the apparatus and methods described herein. For example, the large area substrate or carrier may be a generation 4.5, generation 5, generation 7.5, generation 8.5, or even generation 10. Generation 4.5 corresponds to a substrate of about 0.67m2 (0.73 × 0.92m), generation 5 corresponds to a substrate of about 1.4m2 (1.1m × 1.3m), generation 7.5 corresponds to a substrate of about 4.29m2 (1.95m × 2.2m), generation 8.5 corresponds to a substrate of about 5.7m2 (2.2m × 2.5m), generation 10 corresponds to a substrate of about 8.7m2 (2.85m × 3.05 m). Even higher generations, such as 11 th and 12 th generations, and corresponding substrate areas, may be applied in a similar manner. For example, for OLED display manufacturing, half the size of the above-described substrate generation including generation 6 may be coated by evaporation with an apparatus to evaporate the material. Half the size of a substrate generation may result from some processes performed on the entire substrate size, and subsequent processes performed on half of one substrate previously processed.

The term "substrate" as used herein may especially comprise a substantially inflexible substrate, such as a wafer, a transparent crystal such as sapphire or the like, or a glass plate. However, the present disclosure is not so limited, and the name "substrate" may include a flexible substrate, such as a web or foil. The designation "substantially non-flexible" is understood to distinguish it from "flexible". In particular, the substantially inflexible substrate may have a certain degree of flexibility, for example a glass plate having a thickness of 0.5mm or less, wherein the substantially inflexible substrate is less flexible than the flexible substrate.

The substrate may be made of any material suitable for material deposition. For example, the substrate may be made of a material selected from the group consisting of glass (e.g., soda-lime glass, borosilicate glass, etc.), metal, polymer, ceramic, composite, carbon fiber material, metal, or any other material or combination of materials that can be coated by a deposition process.

FIG. 1 shows a schematic diagram of a manufacturing system 1000 for processing a substrate in a vertical position. Apparatus, systems, and methods according to the present disclosure may be part of this manufacturing system 1000 or similar manufacturing systems. The manufacturing system 1000 includes, among other things, a load lock chamber 1010, the load lock chamber 1010 being coupled to a horizontal substrate transfer chamber 1020. The substrate may be transferred from the glass transfer chamber 1020 to the vacuum swing module 1030 where the substrate is positioned on a carrier in a horizontal position. After loading the substrates on the carrier in a horizontal position, the vacuum swing module 1030 rotates the carrier with the substrates disposed thereon in a vertical or substantially vertical orientation.

The carrier with the substrate disposed thereon is then transferred through a first spin and transfer chamber 1040 having a substantially vertical orientation and at least one other spin and transfer chamber 1041-1045. In the rotation and transfer chamber 1041-1045, the substrate may be rotated, for example, by 90 °, 180 °, 270 °, or 360 ° as it is received from the processing chamber and may be transferred to another vacuum chamber, with the substrate maintained in a vertical position. One or more deposition apparatuses 1050 may be connected to the spin and transfer chamber. Further, other substrate processing chambers or other vacuum chambers may be connected to one or more of the rotation and transfer chambers.

As described with reference to fig. 10A and 10B, the spin chamber may also be referred to as a cluster chamber or a vacuum spin module. According to several embodiments described herein, two or more cluster chambers, i.e., spin chambers or vacuum spin chambers, may be disposed in an inline arrangement.

After processing the substrates, the carriers with the substrates thereon are transferred in a vertical orientation from the spin and transfer chamber into the vacuum swing module 1030 or optionally other vacuum swing module 1031. That is, the processed substrate may be transferred back to the vacuum swing module 1030 to exit the system, or through an optional portion 1070 of the system that includes other vacuum swing modules 1031. The vacuum swing module 1030 or other vacuum swing module 1031 may rotate the carrier with the substrate thereon from a vertical orientation to a horizontal orientation. Thereafter, the substrate may be removed into the glass transport chamber 1020 or other horizontal glass transport chamber 1021. For example, after the manufactured device is packaged in one of the film packaging chambers 1060 or 1061, the processed substrate may be removed from the manufacturing system 1000 by a load lock chamber, such as the load lock chamber 1010 or the load lock chamber 1011.

The processing chamber may be a vacuum chamber or a vacuum deposition chamber. The term "vacuum" as used herein is understood to mean a technical vacuum having a vacuum pressure of less than say 10 mbar. The system 1000 may include one or more vacuum pumps, such as turbo pumps and/or cryopumps (cryo-pumps), connected to the vacuum chamber to create a vacuum inside the vacuum chamber.

According to some embodiments, and as shown in fig. 1, the one or more rotation and transfer chambers 1040 1045 are disposed along a line to provide an inline transfer system portion of the system.

Fig. 2 shows a schematic view of a deposition process for manufacturing an OLED on a substrate 10, while fig. 3A and 3B show schematic views of an example of a support arrangement 40 for supporting the substrate 10 on a substrate carrier 11 and the mask 20 on a mask carrier 21 during layer deposition in a process chamber, wherein the substrate 10 and the mask elements 20 are maintained in a substantially vertical position.

as shown in fig. 2, for fabricating an OLED, organic molecules may be provided (e.g., evaporated) from a deposition source 30 and deposited on the substrate 10. A mask arrangement including a mask 20 is located between the substrate 10 and the deposition source 30. The mask 20 has a specific pattern, for example provided by a plurality of openings or holes 22, such that organic molecules pass through the openings or holes 22 (along paths 32) to deposit a patterned layer or film of an organic compound on the substrate 10. Using different masks or positions of the mask 20 relative to the substrate 10, multiple layers or films may be deposited on the substrate 10, for example to create pixels, for example with different color properties. By way of example, a first layer or film may be deposited to produce red pixels 34, a second layer or film may be deposited to produce green pixels 36, and a third layer or film may be deposited to produce blue pixels 38. A layer or film, for example of an organic material, may be arranged between two electrodes, for example an anode and a cathode (not shown). At least one of the two electrodes may be transparent.

During the deposition process, the substrate 10 and the mask 20 may be arranged in a vertical orientation. In fig. 2, arrows indicate a vertical direction Y and a horizontal direction X. As used throughout this disclosure, the designation "vertical direction" or "vertically oriented" is understood to be distinguished from "horizontal direction" or "horizontally oriented". That is, "vertical direction" or "vertical orientation" relates to a substantially vertical orientation, for example of the support arrangement and the substrate, wherein deviations of some angle of up to 10 ° or even up to 15 ° from the exact vertical direction or vertical orientation are still considered as "substantially vertical direction" or "substantially vertical orientation". The vertical direction may be substantially parallel to gravity.

Fig. 3A shows a schematic view of a support arrangement 40 to support a substrate carrier 11 and a mask carrier 21 during layer deposition in a processing chamber, the support arrangement 40 being usable in systems and apparatuses according to several embodiments described herein. Fig. 3B shows a side view of the support arrangement 40 shown in fig. 3A.

The alignment system on the tool for vertical operation can work from the outside of the process chamber, i.e. from the atmospheric side. The alignment system may be coupled to the substrate carrier and the mask carrier using rigid arms, such as those extending through the walls of the processing chamber. For alignment systems located outside of the vacuum, the mechanical path between the mask carrier or mask and the substrate carrier or substrate is long, making the system susceptible to external disturbances (vibration, heat, etc.) and tolerances.

The actuator of the alignment system may additionally or alternatively be comprised in the vacuum chamber. Thus, the length of the rigid arm may be reduced. For example, an actuator that may mechanically contact the substrate carrier and the mask carrier may be disposed at least partially between the track for the mask carrier and the track for the substrate carrier.

The support arrangement 40 may comprise two or more alignment actuators connectable to at least one of the substrate carrier 11 and the mask carrier 21, wherein the support arrangement 40 is configured to support the substrate carrier 11 in or parallel to a first plane, wherein a first alignment actuator 41 of the two or more alignment actuators may be configured to move the substrate carrier 11 and the mask carrier 21 relative to each other at least in a first direction Y, wherein a second alignment actuator 42 of the two or more alignment actuators may be configured to move the substrate carrier 11 and the mask carrier 21 relative to each other at least in the first direction Y and a second direction X different from the first direction Y, and wherein the first direction Y and the second direction X are in the first plane. The two or more alignment actuators may also be referred to as "alignment blocks". Accordingly, the alignment block or the alignment actuator may change the position of the substrate 10 with respect to the mask 20. For example, the mask carrier and/or the substrate carrier may be transported in a suspended state in the processing region to reduce particle generation. In the processing region, the mask carrier and the substrate carrier may be brought into mechanical contact by one or more alignment actuators.

As shown in fig. 3B, the mask 20 may be affixed to a mask carrier 21, and the support arrangement 40 is configured for supporting at least one of the substrate carrier 11 and the mask carrier 21 in a substantially vertical orientation, in particular for supporting both the substrate carrier 11 and the mask carrier 21 in a substantially vertical orientation, in particular during layer deposition. The deposition takes place along the direction Z according to the arrow shown in fig. 3B.

By using the two or more alignment actuators to move the substrate carrier 11 and the mask carrier 21 relative to each other at least in the first direction Y and the second direction X, the substrate carrier 11 may be aligned relative to the mask carrier 21 or the mask 20 and the quality of the deposited layer may be improved.

for performing an adjustment of the position of the mask 20 relative to the substrate 10, by actuating the alignment block, an optical check may be performed to check for possible variations or deviations from the correct alignment. As mentioned above, the fiducial reference points may be taken into account for this purpose. The fiducial is a pattern recognition mark, which may be, for example, a solder mask opening, such as bare copper with a circle in the center. For example, the fiducials are positioned near the corner edges of the substrate/mask element and identified using an image detection system. The image detection system compares the detected image with the stored information data. By measuring the position relative to a reference, for example a substrate stored in a memory of the system, the degree of movement of the component relative to the substrate is calculated to confirm that accurate placement is feasible. This component is exemplified by a mask.

fig. 4 shows an example of the substrate 10 coupled to the mask 20. The mask 20 is used to deposit organic material to form the device 12. The device 12 has, for example, several pixels having different properties for use in apparatus and systems according to the present disclosure. As shown in fig. 4, the mask 20 is provided with fiducials 22 at the corners to align the substrate 10 to the mask 20 prior to deposition.

Fig. 5 illustrates an apparatus 50 for optical inspection according to one embodiment of the present disclosure. The apparatus 50 may be configured to optically inspect a substrate 10 processed in at least a first processing chamber 51 and a second processing chamber 52. The apparatus 50 may include an inspection device 60 for performing optical inspection of the substrate 10 processed in the first process chamber 51 between the first process chamber 51 and the second process chamber 52. That is, the apparatus 50 may be positioned at a location along a transfer path of an inline processing system, such as the substrate 10, i.e., a transfer path between the first process chamber 51 and the second process chamber 52.

The dashed lines 10' and 10 "in the first and second processing chambers of fig. 5 indicate that the apparatus 50 can receive processed substrates from the first processing chamber 51 and that the substrates are to be transferred to the second processing chamber 52. Additional intermediate chambers may be provided between the first process chamber 51 and the apparatus 50. Additional intermediate chambers may be provided between the apparatus 50 and the second process chamber 52 in a similar manner. The apparatus 50 is advantageously positioned at least after the process chamber 51 and before the process chamber 52. Referring to fig. 1, the apparatus 50 may be positioned at the end of a line between the final deposition chamber 1050 and the optional vacuum swing module 1031. In case the apparatus 50 is located at the end of a line, the optical inspection may be performed in a vacuum chamber, i.e. a rotation and transfer chamber. In this manner, the processed substrate is optically inspected under the same pressure conditions as during the deposition/evaporation of the organic material.

Optical inspection is performed after processing, and optical inspection is thus performed on the processed substrate 10. It is not necessary to interrupt the production line to process, for example, dummy substrates, and inspection may be performed on the actual processed substrates (i.e., not on substrates having dummy deposition). Several parameters that affect the alignment of the mask 20 with respect to the substrate 10 may be taken into account. In particular, the apparatus 50 for optical inspection according to the present disclosure utilizes actual substrates to inspect pixel position accuracy. The optical inspection may in particular be performed on a stationary processed substrate 10, i.e. the processed substrate 10 is stationary with respect to the inspection device 60.

The term "processed substrate" may here refer to a substrate that is subjected to at least a processing, such as the deposition of a layer material (organic or non-organic), wherein at least a mask element is coupled to the substrate and particles of the material are passed through the mask element with a specific pattern to deposit the layer material at a desired location of the substrate.

According to some embodiments of the present disclosure, which may be combined with other embodiments described herein, the inspection device 60 may be configured for optically inspecting the processed substrate 10 in a substantially vertical position.

By passing from the first process chamber 51 to the apparatus 50, the processed substrate 10 advantageously does not undergo any significant orientation change or substrate wobble, i.e. the processed substrate 10 remains in a substantially vertical position. In this manner, optical inspection can be performed after processing the substrate 10 without any unnecessary delay.

According to some embodiments of the present disclosure, which may be combined with other embodiments described herein, the inspection device 60 may detect a deviation mask value, which corresponds to a relative position of the substrate 10 with respect to the mask element 20.

By detecting a deviation mask value of the processed substrate 10 using the inspection apparatus 60 according to the present disclosure, it is possible to control the alignment of the mask 20 with respect to the substrate 10 during deposition. If the offset mask value produces a misalignment that exceeds a predetermined tolerance value, the apparatus 50 may be configured to feed this information back to the previous process chamber (i.e., the first process chamber 51). Feedback may be applied to the alignment block to compensate for the detected offset mask value.

The determined tolerance value may be set such that the detected deviation mask value may still be considered acceptable for the final product or such that the deviation mask value is not acceptable for the final product. In both cases, the alignment actuators present on the substrate carrier or on the mask carrier in the previous processing chamber are activated to compensate for the detected deviation. However, in the first case, the optically inspected substrate may be transferred to the final other processing chamber to complete the product process. In the second case, the optically inspected substrate may be transferred to a chamber where it may be removed (misssed).

According to some embodiments of the present disclosure, which may be combined with other embodiments described herein, the inspection device 60 may include at least a light source 62, one or more image capture devices 64, and a processing device 66. The light source 62 is used to illuminate the processed substrate 10. The one or more image capture devices 64 are configured to capture one or more images of at least a portion of the substrate 10. The processing device 66 is used for processing the captured image. This is shown in fig. 6.

At least the light source 62 and the image capture device 64 may be positioned in front of the processed surface of the substrate 10 based on the determined position to properly illuminate and capture an image of the portion of the processed substrate 10 for study. Additionally or alternatively, the incident light and the measured light signal may additionally or alternatively be guided to and from the substrate by optical fibers.

The light source 62 may include any of incandescent, fluorescent, Infrared (IR), Ultraviolet (UV), or Light Emitting Diode (LED) (white, red, green, blue) light sources. To perform different light conditions, multiple (two or more) light sources 62 of different properties and at different locations relative to the processed substrate 10 may be employed. For example, the one or more light sources may be lasers.

Image capture device 64 may be a camera (photo camera) or a video camera (video camera) capable of scanning portions of processed substrate 10. The inspection device 60 may include a single camera system having a single image capture device 64, or a multiple camera system having several image capture devices 64. In particular, the inspection device 60 according to an embodiment of the present disclosure includes four image capture devices 64. According to some embodiments, which can be combined with other embodiments described herein, the inspection device may comprise a camera, for example a camera for visible light, a UV camera, and/or an IR camera.

The processing device 66 analyzes the image captured by the image capture device 64 and controls the illumination conditions of the light source 62. Thus, the processing device 66 may include a processing unit, such as a Central Processing Unit (CPU), coupled to the light source and image capture device 64. In particular, the processing device 66 may compare the captured image to stored data or another captured image to obtain information about the quality of the processed substrate 10, such as by offsetting the mask values. The processing device may send the acquired information data back to the first processing chamber 51. This information may act on the alignment block. In this respect, the alignment block is provided with a dedicated control unit which receives information data from the device 50. The control unit may directly control the alignment actuators on the substrate carrier and/or the mask carrier for adjusting the position of the mask 20 relative to the substrate 10.

According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the inspection device 60 can further include at least an optical element 68 for detecting fluorescence. In particular, the optical element 68 may include filter fluorometers or spectrofluorometers. Filter fluorometers use filters to isolate the fluorescence. Spectrofluorometers utilize diffraction grating monochromators (diffraction grating monochromators) to block fluorescence. Due to the fluorescent properties of the organic material, the processed substrate 10 may be fluorescently illuminated and images may be captured using a dedicated device, such as a Charge Coupled Device (CCD) camera module. Therefore, the pixel pattern can be recognized more accurately.

according to some embodiments of the present disclosure, which may be combined with other embodiments described herein, the inspection device 60 may be positioned to inspect the processed substrate 10 maintained under vacuum conditions. The vacuum conditions are advantageously the same as in the first process chamber 51. Thus, the pressure conditions of the processed substrate 10 during optical inspection are similar or identical to the pressure conditions during deposition of the organic layer on the substrate.

according to other embodiments, while the substrate 10 is maintained under vacuum conditions, some components of the inspection device 60 may be located in separate spaces in general air pressure conditions or in lower vacuum conditions. These components of the inspection device 60 are, for example, a light source 62 and an image capture device 64. Maintenance procedures for these components of the inspection device 60 are advantageously facilitated.

according to some embodiments of the present disclosure, which may be combined with other embodiments described herein, the inspection device 60 may be an in-line inspection system. The in-line inspection system provides inspection in the process line, i.e. between two process actions. The feedback delay time may be reduced compared to inspection at the ends of the line. The reduced feedback delay time improves yield of the manufacturing system.

Thus, the apparatus 50 may be placed in a production line for depositing organic films on substrates. Referring back to fig. 5, when the processed substrate 10 is optically inspected by the inspection device 60 of the apparatus 50, a new substrate (e.g., 10') may be processed or will be processed in the first process chamber 51. Meanwhile, a previous substrate (e.g., 10 ") that has been processed in the first processing chamber 51 and subsequently inspected by the inspection device 60 of the apparatus 50 may be further processed or will be processed in the second processing chamber 52.

the results of the optical inspection performed by the apparatus 50 may advantageously be adjusted in real time, for example to adjust deposition parameters during processing in the first process chamber 51. The deposition parameters are, for example, alignment parameters.

The term "real-time" herein means that the optical inspection may be performed immediately after processing the substrate 10, for example, immediately after depositing an organic layer on the substrate 10. Thus, feedback on the bias mask values may be sent to the process chambers after processing in a manner that reduces delay, such as to corresponding alignment actuators. Further, feedback means deposition of a specific organic layer. For example, the feedback may be sent to the processing chamber from about 1 minute to 5 minutes after depositing the organic layer on the substrate.

Fig. 7 shows a schematic diagram of a system 70 of one embodiment of the present disclosure. The system 70 may include at least a first processing chamber 71 and a second processing chamber 72 for processing a substrate 10 (not shown). The system 70 may further include a transfer chamber 73 for receiving processed substrates 10 from the first processing chamber 71 and for transferring processed and optically inspected substrates 10 to the second processing chamber 72. In particular, the transfer chamber 73 is provided with an inspection device (not shown) for performing optical inspection of the substrate 10 processed in the first process chamber 71.

The inspection device of the system 70 may function in the same manner as the inspection device 60 illustrated in fig. 5 and 6. That is, the transfer chamber 73 may include the apparatus 50 for optical inspection described above. Thus, the features and advantages of the inspection device 60 (and apparatus 50) described above are also provided in the inspection device of the system 70.

For example, optical inspection may be performed on processed (actual) substrates in the transfer chamber 73 after processing. In particular, the inspection device may be configured for optical inspection of the processed substrate 10 in a substantially vertical position. The inspection apparatus may detect a deviation mask value corresponding to a relative position of the substrate 10 with respect to the mask element 20. The inspection device may include at least a light source, one or more image capture devices, and a processing device. The light source is used to illuminate the processed substrate 10. The one or more image capture devices are configured to capture one or more images of at least a portion of the processed substrate 10. The processing device is used for processing the captured image. In particular, since the inspection apparatus is located in the transfer chamber, the inspection apparatus is positioned to inspect the processed substrate 10 while maintaining the same vacuum condition as that in the first processing chamber 71.

As shown in fig. 7, the inspection device may be an in-line inspection system located in a transfer chamber 73 in a manufacturing system. The transfer chamber 73 may be located between two rotation chambers 78. The spin chamber 78 may be configured to spin the substrate 10 by, for example, 90 °, 180 °, 270 °, or 360 ° as the substrate 10 passes from the process chamber (i.e., the first process chamber 71) to another process chamber (i.e., the second process chamber 72). Rotation occurs to maintain the substrate 10 in a substantially vertical position. The system 70 may include additional process chambers 74, 76 and additional transfer chambers 75, 77, wherein processed substrates 10 may be transferred from one process chamber (e.g., the first process chamber 71) to another process chamber (e.g., the second process chamber 72) through a transfer chamber (e.g., 73) and a spin chamber (e.g., 78). Processing chambers 72, 74, and 76 may be dedicated to depositing a particular type of organic layer on substrate 10. For example, processing chamber 72 may be configured for depositing a blue emission layer (B-EML), processing chamber 74 for depositing a green emission layer (G-EML), and chamber 76 for depositing a red emission layer (R-EML). By way of example, the process chamber 71 may be configured to deposit an Electron Transport Layer (ETL). The quality of the process chamber during deposition or evaporation may be based on the correct alignment between the dedicated mask element 20 and the substrate 10. Thus, the inspection device may be located in the transfer chamber after or directly after each process chamber to inspect the quality of the layer, i.e. the bias mask value, after each deposition. Fig. 7 shows a system 70 with optical inspection performed in a transfer chamber 73 in the system 70, the transfer chamber 73 being after the first process chamber 71 and before the second process chamber 72. However, according to some embodiments of the present disclosure, which may be combined with other embodiments described herein, optical inspection may also be performed in the transfer chamber 75, the transfer chamber 77, or any transfer chamber subsequent to the process chamber, as the necessity to control patterning quality arises after deposition.

Feedback to adjust deposition parameters, such as bias mask values, may advantageously be returned to the processing chamber in a manner that reduces delay time. The quality of the processed substrate can be checked, for example, within 5 minutes from the deposition of the organic layer. The deposition parameters can ultimately be adjusted without waiting for full processing of the product. Furthermore, optical inspection may be performed after depositing the layers on the substrate. In this way, the patterning quality of each single deposited layer can also be controlled. Thus, bias mask values for different and separate process chambers may be identified.

According to some embodiments, which can be combined with embodiments described herein, the optical inspection can be performed after two processing operations and before another (third) processing operation. For example, the optical inspection may assess the quality of two previous processing operations. For purposes of this embodiment, "real-time" herein means that the optical inspection may be performed immediately after the first and second processing of the substrate 10. For example, the feedback may be sent to the processing chamber after from about 2 minutes to 10 minutes from depositing the organic layer on the substrate.

Fig. 8 shows a flow diagram of a method 100 for in-line optical inspection of a processed substrate 10 according to embodiments described herein. The method 100 includes receiving 102 a processed substrate 10 from a first processing chamber 51; performing 104 an optical inspection on the substrate 10 processed in the first process chamber 51 according to the deposition parameters; acquiring 106 information data on the quality of the processed substrate 10; returning 108 the information data to the first processing chamber 51; and adapting 110 the deposition parameters to process subsequent substrates in the first processing chamber 51. In particular, the in-line optical inspection according to the present disclosure may be performed between the first process and the second process of the substrate 10.

By performing optical inspection, the method 100 according to the present disclosure may inspect the alignment between the deposition layer directly on the processed substrate 10 and the back plate (or substrate). Thus, during deposition in the process chamber, an indirect control of the alignment of the mask elements 20 with respect to the substrate 10 is performed. Thereby obtaining information data about the quality of the processed substrate 10. The quality of the processed substrate 10 is also the quality of the deposited layer. In case the alignment check determines that the deposited layer has a quality level below the determined tolerance value, the information data is fed back to the process chamber. Deposition parameters are adjusted in the processing chamber for processing subsequent substrates.

"deposition parameters" are intended to mean the processing parameters involved during deposition or evaporation of an organic layer on a substrate. For example, the deposition parameters may include the relative alignment of the mask element 20 and the substrate 10. The relative alignment may be modified or adjusted by acting on the alignment actuator. The alignment actuator is configured to change the position of the mask 10 relative to the substrate 10. The alignment actuator may be coupled to the mask carrier 21 and/or to the substrate carrier 11.

According to some embodiments of the present disclosure, which may be combined with other embodiments described herein, performing 104 optical inspection may include illuminating 112 the processed substrate 10; capturing 114 an image of at least a portion of the processed substrate 10; and processing 116 images of the processed substrate 10 taken under different light conditions.

By processing 116 images captured under different light conditions, it is possible to identify different portions of the processed substrate 10, such as identifying a backplane or a single organic layer deposited on the backplane. By way of example, to establish the correlation of images captured under different light conditions, a patterning quality check may be performed. For this purpose, illumination may be performed with a plurality of light sources 62 and with light sources of different nature. The light sources 62 are spatially located in different positions.

According to some embodiments of the present disclosure, which may be combined with other embodiments described herein, the inspected portion of the processed substrate 10 may include device pixels and/or control pixels.

In this way, it is possible to control the patterning quality at different levels, i.e. at the device pixel level and/or at the control pixel level. By establishing the relationship of the recognized image of the backplane to the recognized pixel devices at the device pixel level. The relationship of the control structures on the processed substrate to the test pixels (for example, the reference) is established at the control pixel level.

according to some embodiments of the present disclosure, which may be combined with other embodiments described herein, obtaining 106 the information data may comprise calculating a deviation mask value corresponding to a relative position of the substrate 10 with respect to a mask element 20, the mask element 20 being located between the substrate 10 and the deposition source 30.

Thus, the calculated offset mask value may be used as feedback data to adjust the alignment of the mask 20 relative to the substrate 10 during deposition of the organic layer in the processing chamber.

According to some embodiments of the present disclosure, which may be combined with other embodiments described herein, the method 100 may further comprise calculating an average deviation mask value for the substrate 10 by averaging information data from the captured images. The captured images are captured by a plurality of image capture devices 64 and are captured for portions of the processed substrate 10.

In this way, it is possible to obtain more accurate information about the deposited layer. In fact, by averaging the data, a complete check of the alignment between the deposited layer and the back plate can be obtained. The data is derived from capturing images of different locations on the processed substrate 10 (e.g., different pixel devices at different locations on the processed substrate) and using different image capture devices 64 (e.g., four).

The advantage of using multiple image capture devices 64 to simultaneously collect images of different locations of the processed substrate 10 is, for example, at the same point of view (view). This advantage may be achieved, for example, if the image capture devices 64 are the same distance from the processed substrate 10 under the same field of view. Alternatively, the image capture devices 64 may be positioned at different distances from the substrate 10 under different views to capture the processed substrate 10, or portions of the processed substrate 10, from different views. Similar results may be obtained using a single image capture device 64, such as a robot arm that is movable on the processed substrate 10, for example, the single image capture device 64. Further, other optical signals may be directed to the image capture device through an optical fiber or an array of optical fibers.

According to some embodiments of the present disclosure, which may be combined with other embodiments described herein, performing 104 an optical inspection may include taking at least an image of the processed substrate 10 under fluorescent illumination.

For example, the backplane may be identified using general (white) illumination, and the pixel devices may be identified using fluorescent illumination. In this way, by capturing two images of the same portion of the processed substrate 10, but with two different illuminations (e.g., general illumination and fluorescent illumination), it is possible to identify different components of the processed substrate 10. Identifying the different elements of the processed substrate 10 may establish a relationship with each other to obtain patterning quality information.

Fig. 9 shows a system 80 for aligning the position of a substrate 10 relative to a mask element 20, wherein the substrate 10 and the mask element 20 are in a substantially vertical position. A mask element 20 is coupled to the substrate 10.

the system 80 comprises an inspection device 82, the inspection device 82 being adapted to optically inspect the relative position of the mask element 20 with respect to the substrate 10, the mask element 20 being adapted to process the substrate in the process chamber 51, and the inspection device 82 being located behind said process chamber 51. Furthermore, the system comprises processing means 84 and adjusting means 86. The processing device 84 is configured to calculate a corresponding deviation mask value. The adjusting device 86 is configured to adjust the position of the mask element 20 relative to the substrate 10 in response to said calculated offset mask value. In particular, the system 80 may be located in a position prior to a subsequent processing chamber (not shown).

The adjustment device 86 may be coupled to the alignment actuators 41, 42 of the substrate carrier 11 to control and change the position of the substrate 10 relative to the mask 20. Fig. 9 shows the adjustment device 86 separated from the alignment actuators 41, 42 of the substrate carrier 11. However, according to an alternative embodiment of the present disclosure, the adjustment device 86 may be integrated in one or both of the alignment actuators 41, 42, said alignment actuators 41, 42 being directly controlled by the processing device 84.

Notably, the system 80 is located outside of the process chamber 51. In the process chamber 51, deposition occurs in the direction of the arrow. Materials, such as organic materials, are evaporated and deposited on the substrate 10. The material is passed through a specific pattern of mask 20 at a desired portion of substrate 10 to form, for example, an OLED device (or portion of an OLED device). The mask carrier 21 supports the mask 20, and the substrate carrier 11 supports the substrate 10. After deposition is performed in the process chamber 51 and before the substrate 10 is transferred to other process chambers, the offset mask value is calculated by inspecting the processed substrate 10, wherein the processed substrate 10 is always maintained under vacuum conditions. Fig. 9 shows that the substrate 10 is positioned in the same position, i.e. in a substantially vertical position (direction Y), both during deposition in the process chamber 51 and during optical inspection.

The inspection device 82 of the system 80 may operate in the same manner as the inspection device 60 described in fig. 5 and 6. Thus, the features and advantages of the inspection device 60 (and apparatus 50) as described above are also provided to the inspection device 82 of the system 80. In particular, the system 80 of FIG. 9 may be part of a manufacturing system for depositing organic layers, such as the manufacturing system 1000 of FIG. 1 or the system 70 of FIG. 7. In particular, the system 80 for aligning the position of the substrate 10 with respect to the mask elements 20 may be located inside the transfer chamber. A mask element 20 is coupled to the substrate 10. The transfer chamber is after the chamber for processing the substrate 10 or directly after the chamber for processing the substrate. In particular, the system 80 may be located between two process chambers.

In this way, it is possible to act directly on the alignment actuators of the mask 20 and/or the substrate 10 on a real-time basis, i.e. with a reduced delay time of, for example, 20 minutes or less or even 5 minutes or less, in order to finally adjust the deposition parameters for the subsequent substrate, compared to conventional systems. This subsequent substrate is processed in the processing chamber 51.

According to various embodiments of the present disclosure, an apparatus for optical inspection of substrates processed in at least a first process chamber and a second process chamber may be disposed at different locations in a vacuum processing system, i.e., between the first process chamber and the second process chamber.

Fig. 10A illustrates a vacuum processing system 1100 according to an embodiment of the present disclosure. The vacuum processing system 1100 provides a combination of cluster placement and inline placement. A plurality of process chambers 1120 are provided. The process chamber 1120 may be connected to a vacuum spin chamber 1130. The vacuum spin chamber 1130 is disposed in an inline arrangement. The vacuum spin chamber 1130 may spin the substrate to move into and out of the processing chamber 1120. The combination of cluster and inline arrangements may be considered a hybrid configuration. The vacuum processing system 1100 with a hybrid arrangement provides a plurality of processing chambers 1120. The length of the vacuum manufacturing system still does not exceed certain limits.

according to several embodiments of the present disclosure, a cluster chamber or vacuum cluster chamber is a chamber, such as a transfer chamber, configured to have two or more process chambers connected to the chamber. Thus, the vacuum spin chamber 1130 is an example of a cluster chamber. The cluster chambers may be disposed in an inline arrangement in a hybrid arrangement.

A vacuum rotary chamber or rotary module (also referred to herein as a "routing module" or "routing chamber") may be understood as a vacuum chamber configured for changing the direction of transport of one or more carriers, which may be changed by rotating one or more carriers located on a track in the rotary module. For example, the vacuum rotation chamber may comprise a rotation device configured for rotating the track around the rotation axis. The track is configured to support a carrier. The axis of rotation is exemplified by a vertical axis of rotation. In some embodiments, the rotating module includes at least two rails that are rotatable about a rotation axis. The first track, in particular the first substrate carrier track, may be arranged on a first side of the rotation axis, and the second track, in particular the second substrate carrier track, may be arranged on a second side of the rotation axis.

In some embodiments, the rotation module comprises four tracks, in particular two mask carrier tracks and two substrate carrier tracks, rotatable around the rotation axis.

When the rotation module is rotated by an angle x, which is for example 90 °, the transport direction of the one or more carriers arranged on the track may be changed by an angle x, which is for example 90 °. The rotation of the rotation module by an angle of 180 ° may correspond to a track switch, i.e. the position of the first substrate carrier track of the rotation module and the position of the second substrate carrier track of the rotation module may be exchanged or exchanged and/or the position of the first mask carrier track of the rotation module and the position of the second mask carrier track of the rotation module may be exchanged or exchanged.

In the present disclosure, reference is made to chambers connected to each other. For example, the connected chambers may be directly connected, with the flange of one chamber being connected to the flange of an adjacent chamber. Alternatively, the chambers may be connected to each other by a connection unit, which provides for example a vacuum seal or other connection element, or a slit valve or other element arranged between two adjacent chambers. The connection unit is very short compared to the length of the large-area substrate and can be distinguished from the vacuum chamber. For example, the connection chamber has a length of 20% or less of the length of the substrate. According to several embodiments, which can be combined with other embodiments described herein, a first chamber connected to a second chamber can be understood as a first chamber adjacent to a second chamber, e.g., without an intermediate chamber. As described above, the first chamber may be directly connected to the second chamber, or connected to the second chamber via the connection unit.

Fig. 10A illustrates a vacuum processing system 1100, and fig. 10B illustrates substrate transport in the vacuum processing system. The substrate is illustratively introduced into the vacuum processing system 1100 at the vacuum swing module 1110. According to other adjustments, the load lock chamber may be coupled to a vacuum swing module for loading and unloading substrates into the vacuum processing system. The vacuum swing module typically receives the substrate directly from an interface of the device manufacturing facility or via a load lock chamber. Generally, the interface provides a substrate in a horizontal orientation, such as a large area substrate. The vacuum swing module moves the substrate from an orientation provided by the factory interface to a substantially vertical orientation. The substantially vertical orientation of the substrate is maintained during processing of the substrate in the vacuum manufacturing system 1100 until the substrate is moved back to the horizontal orientation, for example. Swinging, moving an angle, or rotating the substrate is illustrated by arrow 1191 in FIG. 10B.

According to several embodiments of the present disclosure, the vacuum swing module may be a vacuum chamber to move from a first substrate orientation to a second substrate orientation. For example, the first substrate orientation may be a non-vertical orientation, such as a horizontal orientation, and the second substrate orientation may be a non-horizontal orientation, such as a vertical orientation or a substantially vertical orientation. According to some embodiments, which may be combined with other embodiments described herein, the vacuum swing module may be a substrate repositioning chamber configured to selectively position a substrate therein in a first orientation and a second orientation. The first orientation is relative to a horizontal orientation and the second orientation is relative to a horizontal orientation.

the substrate moves through the buffer chamber 1112 (see fig. 10A), as illustrated by arrow 1192. The substrate is further moved through a cluster chamber, such as a vacuum spin chamber 1130, into the processing chamber 1120. In some embodiments illustrated with reference to fig. 10A and 10B, a substrate is moved into the processing chamber 1120-I. For example, a Hole Inspection Layer (HIL) may be deposited on the substrate in the process chamber 1120-I.

In the present disclosure, reference is made to the manufacture of OLED flat panel displays, particularly for use in the manufacture of mobile devices. However, similar considerations, examples, embodiments, and aspects may also be provided for other substrate processing applications. For the example of the OLED mobile display, a Common Metal Mask (CMM) is disposed in the process chamber 1120-I. The CMM provides edge exclusion masks for each mobile display. Each mobile display utilizes an aperture mask, with the area on the substrate corresponding to the area between the displays being primarily covered by the CMM.

The substrate then moves out of the processing chamber 1120 into an adjacent cluster chamber, such as the vacuum spin chamber 1130, through the first transfer chamber 1182, through other cluster chambers, and into the processing chamber 1120-II. This is illustrated by arrow 1194 in fig. 10B. In the process chamber 1120-II, a Hole Transfer Layer (HTL) is deposited on the substrate. Similar to the hole injection layer (hole injection layer), the hole transport layer is fabricated using a generic metal mask with one opening per moving display. Further, the substrates move out of the processing chamber 1120-II to adjacent cluster chambers, such as the vacuum spin chamber 1130, through the second transfer chamber 1184, through other cluster chambers, and into the processing chamber 1120-III. This is indicated by the other arrow 1194 in fig. 10B.

a transfer chamber or transition module may be understood as a vacuum module or chamber, which may be inserted between at least two other vacuum modules or chambers, for example between several vacuum rotary chambers. The carrier, such as a mask carrier and/or a substrate carrier, may be transported through the transfer chamber in a length direction of the transfer chamber. The length direction of the transfer chamber may correspond to the main transfer direction of the vacuum processing system, i.e. the inline arrangement of the cluster chambers.

In process chamber 1120-III, an electron blocking layer (EB) is deposited on the substrate. The electron blocking layer may be deposited using a fine metal mask (FFM). The precision metal mask has a plurality of openings, for example, in the micrometer range in size. The plurality of precision openings correspond to pixels of the mobile display or colors of pixels of the mobile display. Thus, the FFM and the substrate need to be aligned with respect to each other with a favorable high degree of accuracy to have pixel alignment on the display in the micrometer range.

The substrate is moved from process chamber 1120-III to process chamber 1120-IV, then to process chamber 1120-V and to process chamber 1120-VI. For each transfer path, the substrate moves out of the processing chamber into, for example, a vacuum spin chamber, through a transfer chamber, through a vacuum spin chamber, and into the next processing chamber. For example, the OLED layers for red pixels can be deposited in process chamber 1120-IV, the OLED layers for green pixels can be deposited in process chamber 1120-V, and the OLED layers for blue pixels can be deposited in chamber 1120-VI. The layers for the color pixels are deposited using a precision metal mask. The respective precision metal masks are different so that pixel dots of different colors are adjacent to each other on the substrate to provide the appearance of one pixel. As represented by the additional arrows 1194 extending from the process chamber 1120-VI to the process chamber 1120-VII, the substrate may move out of the process chamber into the cluster chamber, through the transfer chamber, through the additional cluster chambers, and into subsequent process chambers. In process chambers 1120-VII, an Electron Transport Layer (ETL) may be deposited using a universal metal mask (CMM).

the substrate transfer for one substrate described above is similar to the substrate transfer for a plurality of substrates, which are simultaneously processed in the vacuum processing system 1100. According to some embodiments, which can be combined with other embodiments described herein, the beat time (tact time), i.e. the period of time, of the system can be 180 seconds or less, for example from 60 seconds to 180 seconds. Accordingly, the substrate is processed in this period, that is, the first exemplary period T. In the above-described process chamber and the subsequent process chambers described below, one substrate is processed in the first period T, another substrate that has just been processed is moved out of the process chamber in the first period T, and yet another substrate to be processed is moved into the process chamber in the first period T. One substrate may be processed in each process chamber while two other substrates are being added to the substrate transport relative to the process chamber, i.e., one other substrate is unloaded from the respective process chamber and one substrate is loaded into the respective process chamber during the first period of time T.

the above-illustrated sequential routing of substrates from the process chamber 1120-I to the process chamber 1120-VII is provided in a column of process chambers of the vacuum processing system 1100, such as the lower column in fig. 10A and 10B. This column or lower portion of the vacuum processing system is indicated in fig. 10B by arrow 1032.

According to some embodiments, which can be combined with other embodiments described herein, a substrate can be routed from one end of an inline arrangement of cluster chambers to an opposite end of the inline arrangement of cluster chambers of a vacuum processing system in one column or portion of the vacuum processing system. At the opposite end of the line arrangement, such as the vacuum spin chamber 1130 on the right in FIG. 10A, the substrate is transferred to another column or another portion of the vacuum processing system. This is indicated by arrow 1195 in FIG. 10B. In another column or portion of the vacuum processing system represented by arrow 1034 in fig. 10B, the substrate is processed in a subsequent processing chamber while moving from the opposite end of the inline arrangement of the cluster chamber, which is the beginning end, to the end of the inline arrangement of the cluster chamber, which is the beginning end.

In the example shown in fig. 10A, the exemplary substrate is moved to a process chamber 1120-VIII and subsequently to a process chamber 1120-IX. For example, a metal layer that may illustratively form the cathode of an OLED device may be deposited in process chambers 1120-VIII, such as using a generic metal mask as described above. For example, one or more of the following metals may be deposited in some deposition modules: aluminum (Al), gold (Au), silver (Ag), copper (Cu). At least one of the materials may be a transparent conductive oxide material, such as Indium Tin Oxide (ITO). At least one of the materials may be a transparent material. Thereafter, a capping layer (CPL) is deposited in the processing chamber 1120-IX, for example, using a generic metal mask.

According to some embodiments, which can be combined with other embodiments described herein, additional process chambers 1120-X can be provided. For example, the process chamber may be an alternative process chamber that replaces one of the other process chambers for maintenance.

After the final processing step, the substrate may be moved to the vacuum swing module 1110 via the buffer chamber 1112, i.e., the substrate repositioning chamber. This is indicated by arrow 1193 in fig. 10B. In the vacuum swing module, the substrate is moved from a processing orientation to a substrate orientation. The process orientation is also substantially vertical. The substrate is oriented to correspond to the factory interface, such as horizontally.

Figure 10A shows a transfer chamber, for example disposed between cluster chambers, such as mask rotation chambers. Fig. 10A shows a first transfer chamber 1182 and a second transfer chamber 1184. Reducing the distance between adjacent or subsequent processing chambers and reducing the footprint of the vacuum processing system appears to suggest a reduction in the length of the transfer chamber. It has been surprisingly found that increasing the length of the transfer chamber in part improves the takt time of the vacuum processing system 1100. According to several embodiments described herein, a vacuum processing system includes at least a first length of a first type of transfer chamber and a second length of a second type of transfer chamber. The first type of transfer chamber is the first transfer chamber 1182. The second type of transfer chamber is also referred to as the second transfer chamber 1184. The second length is different from the first length.

according to embodiments of the present disclosure, a vacuum processing system for depositing multiple layers on a substrate may be provided. The vacuum processing system includes a first transfer chamber having a first length and connected to a vacuum chamber; and a second transfer chamber connected to the vacuum chamber, the second transfer chamber having a second length, the second length being less than the first length.

For example, in accordance with still other embodiments which may be combined with other embodiments described herein, a vacuum processing system for processing a substrate includes a first processing chamber coupled to a first cluster chamber; a first processing station for processing a substrate in a first processing chamber; a second processing chamber connected to the second cluster chamber; the first conveying chamber is connected with the first cluster chamber and the second cluster chamber, the first conveying chamber has a first length extending between the first cluster chamber and the second cluster chamber, and the first conveying chamber is adjusted in size to accommodate the substrate; the second transfer chamber is connected to the second cluster chamber, and has a second length smaller than the first length; a substrate transfer arrangement configured to transfer substrates through the first processing chamber, the second processing chamber, the first cluster chamber, the second cluster chamber, the first transfer chamber, and the second transfer chamber in a path in an orientation that is offset from vertical by 15 ° or less.

a first transfer chamber having a first length receives a substrate. The substrate may reside in the first transfer chamber. The resting of the substrate allows easy access to the substrate. This may reduce the overall beat time. A second transfer chamber having a second length less than the first length reduces the distance between adjacent or subsequent process chambers. A second transfer chamber having a second length less than the first length additionally or alternatively reduces the footprint of the vacuum processing system.

Beyond the above range, the two forms of transfer chamber having different lengths provide a footprint suitable for the structure of a factory building, which may generally be the intended environment. Fig. 10A and 10B illustrate a post 1020. The columns provide boundary conditions for factory building and are defined by way of example taking into account structural engineering calculations. Two forms of transfer chambers having different lengths are more suitable for use in factory building vacuum processing systems. Extending the length of the transfer chamber allows for a column 1020 between two process chambers that are adjacent in a column and that facilitate providing a dwell position.

Several embodiments of the present disclosure surprisingly create a combination of advantages including reduced floor space, reduced takt time, and structural conditions suitable for use in manufacturing buildings.

According to still other features, modifications, and embodiments of the present disclosure, by having the substrate in a substantially vertical orientation, particularly having a large area substrate in a substantially vertical orientation, the footprint of the vacuum processing system may be reduced, particularly the footprint of a vacuum processing system providing five or more, or even 10 or more layers in one system may be reduced.

embodiments according to the present disclosure have several advantages, including the possibility to inspect the alignment between a mask element, e.g. a precision metal mask, and a substrate in an efficient manner by using an automated optical inspection of the processed substrate maintained in a substantially vertical position during deposition of the organic layer. Furthermore, embodiments according to the present disclosure have the advantage of performing optical inspection of processed substrates without the need to interrupt the production line and under the same conditions (e.g., substrate orientation and pressure) during deposition of organic layers. Furthermore, embodiments according to the present disclosure have the advantage of delivering feedback to the processing chamber with reduced delay time in view of the patterning quality of the deposited layer, as compared to inspection at the end of the line. Furthermore, embodiments according to the present disclosure have the advantage that feedback relates to depositing a specific layer in a determined process chamber. The reduced delay time for feedback and the possibility to check the patterning quality of a particular deposited layer improve the throughput of the processing system and method.

While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

28页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:异形溅射靶及其制备方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!