Semiconductor device and method of forming a semiconductor device

文档序号:1600386 发布日期:2020-01-07 浏览:27次 中文

阅读说明:本技术 半导体器件和形成半导体器件的方法 (Semiconductor device and method of forming a semiconductor device ) 是由 陈洁 陈宪伟 于 2019-06-28 设计创作,主要内容包括:半导体器件包括位于第一衬底上方的第一互连结构、位于第一互连结构上方的第一接合层、设置在第一接合层的第一区域中的多个第一接合焊盘,第一接合焊盘具有第一间距以及设置在第一接合层的第二区域中的多个第二接合焊盘,第二区域在第一接合层的第一边缘和第一区域之间延伸,第二接合焊盘具有第一间距,多个第二接合焊盘包括多对相邻的第二接合焊盘,其中,每个相应对的第二接合焊盘通过第一金属线连接。本申请的实施例还涉及形成半导体器件的方法。(The semiconductor device includes a first interconnect structure over a first substrate, a first bonding layer over the first interconnect structure, a plurality of first bond pads disposed in a first region of the first bonding layer, the first bond pads having a first pitch and a plurality of second bond pads disposed in a second region of the first bonding layer, the second region extending between a first edge of the first bonding layer and the first region, the second bond pads having the first pitch, the plurality of second bond pads including a plurality of pairs of adjacent second bond pads, wherein each respective pair of second bond pads is connected by a first metal line. Embodiments of the present application also relate to methods of forming semiconductor devices.)

1. A semiconductor device, comprising:

a first interconnect structure over the first substrate;

a first bonding layer over the first interconnect structure;

a plurality of first bonding pads disposed in a first region of the first bonding layer, the first bonding pads having a first pitch; and

a plurality of second bond pads disposed in a second region of the first bonding layer, the second region extending between a first edge of the first bonding layer and the first region, the second bond pads having the first pitch, the plurality of second bond pads comprising a plurality of pairs of adjacent second bond pads, wherein each respective pair of second bond pads is connected by a first metal line.

2. The semiconductor device of claim 1, wherein the first metal line is disposed in the same layer as the second pad.

3. The semiconductor device of claim 1, wherein the first metal line is disposed in the interconnect structure and connected to the second bond pad of each respective pair via a via disposed in the bonding layer.

4. The semiconductor device of claim 1, comprising:

a second bonding layer over the second substrate; and

a plurality of third bond pads disposed in the second bond layer, the plurality of third bond pads comprising a plurality of pairs of adjacent third bond pads, wherein the third bond pads of each respective pair are connected by a second metal line, and wherein the third bond pads are bonded to the second bond pads.

5. The semiconductor device of claim 4, wherein a pitch of the third bond pads is less than a pitch of the second bond pads.

6. The semiconductor device of claim 1, wherein the second region extends between the first region and a second edge of the first bonding layer.

7. The semiconductor device of claim 6, wherein the pair of adjacent second bond pads adjacent the first edge are oriented perpendicular to the pair of adjacent second bond pads adjacent the second edge.

8. The semiconductor device of claim 1, wherein the second region extends from a first edge of the bonding layer a distance that is 10% of a distance from the first edge of the bonding layer to an opposite edge of the bonding layer.

9. A method of forming a semiconductor device, comprising:

depositing a dielectric layer over a semiconductor substrate;

etching first and second bond pad openings in the dielectric layer, wherein the first bond pad opening is adjacent to one or more sidewalls of the semiconductor substrate, wherein each second bond pad opening is adjacent to at least one first bond pad opening;

etching trenches in the dielectric layer, each trench extending between the at least one first bond pad opening and at least one second bond pad opening;

depositing a conductive material within the first bond pad opening to form a first bond pad, depositing a conductive material within the second bond pad opening to form a second bond pad, and forming a conductive material within the trench to form an electrical connection between the first bond pad and the second bond pad; and

excess conductive material is removed using a planarization process.

10. A method of forming a semiconductor device, comprising:

forming a first semiconductor device comprising:

forming an interconnect structure on a first substrate;

forming a first bonding layer on the interconnect structure; and

forming a first bonding pad in the first bonding layer, wherein the first plurality of first bonding pads includes a plurality of sets of adjacent first bonding pads connected in parallel by a plurality of first wires;

forming a second semiconductor device comprising:

forming a second bonding layer over a second substrate; and

forming a second bonding pad in the second bonding layer, wherein the first plurality of second bonding pads includes a plurality of sets of adjacent second bonding pads connected in parallel by a plurality of second wires; and

bonding the first bonding layer to the second bonding layer, the bonding the first bonding layer to the second bonding layer comprising bonding the first plurality of first bonding pads to the first plurality of second bonding pads.

Technical Field

Embodiments of the present application relate to semiconductor devices and methods of forming semiconductor devices.

Background

In wafer-to-wafer bonding technology, various methods have been developed to bond two package components (such as wafers) together. Some wafer bonding methods include fusion bonding, eutectic bonding, direct metal bonding, hybrid bonding, and the like. In fusion bonding, the oxide surface of a wafer is bonded to the oxide surface or silicon surface of another wafer. In eutectic bonding, two eutectic materials are brought together and high pressure and high temperature are applied. The eutectic material melts. When the molten eutectic material solidifies, the wafers are bonded together. In direct metal-to-metal bonding, two metal pads are pressed against each other at elevated temperatures, and interdiffusion of the metal pads causes the metal pads to bond. In hybrid bonding, the metal pads of two wafers are bonded to each other by direct metal-to-metal bonding, and the oxide surface of one of the two wafers is bonded to the oxide surface or silicon surface of the other wafer.

Disclosure of Invention

An embodiment of the present invention provides a semiconductor device including: a first interconnect structure over the first substrate; a first bonding layer over the first interconnect structure; a plurality of first bonding pads disposed in a first region of the first bonding layer, the first bonding pads having a first pitch; and a plurality of second bond pads disposed in a second region of the first bonding layer, the second region extending between a first edge of the first bonding layer and the first region, the second bond pads having the first pitch, the plurality of second bond pads comprising a plurality of pairs of adjacent second bond pads, wherein each respective pair of second bond pads is connected by a first metal line.

Another embodiment of the present invention provides a method of forming a semiconductor device, including: depositing a dielectric layer over a semiconductor substrate; etching first and second bond pad openings in the dielectric layer, wherein the first bond pad opening is adjacent to one or more sidewalls of the semiconductor substrate, wherein each second bond pad opening is adjacent to at least one first bond pad opening; etching trenches in the dielectric layer, each trench extending between the at least one first bond pad opening and at least one second bond pad opening; depositing a conductive material within the first bond pad opening to form a first bond pad, depositing a conductive material within the second bond pad opening to form a second bond pad, and forming a conductive material within the trench to form an electrical connection between the first bond pad and the second bond pad; and removing the excess conductive material using a planarization process.

Yet another embodiment of the present invention provides a method of forming a semiconductor device, including: forming a first semiconductor device comprising: forming an interconnect structure on a first substrate; forming a first bonding layer on the interconnect structure; and forming first bonding pads in the first bonding layer, wherein the first plurality of first bonding pads includes a plurality of sets of adjacent first bonding pads connected in parallel by a plurality of first wires; forming a second semiconductor device comprising: forming a second bonding layer over a second substrate; and forming second bonding pads in the second bonding layer, wherein the first plurality of second bonding pads includes a plurality of sets of adjacent second bonding pads connected in parallel by a plurality of second wires; and bonding the first bonding layer to the second bonding layer, the bonding the first bonding layer to the second bonding layer comprising bonding the first plurality of first bonding pads to the first plurality of second bonding pads.

Drawings

Various aspects of the invention are best understood from the following detailed description when read with the accompanying drawing figures. It should be noted that, in accordance with standard practice in the industry, various components are not drawn to scale. In fact, the dimensions of the various elements may be arbitrarily increased or decreased for clarity of discussion.

Fig. 1A-1B illustrate cross-sectional views of intermediate steps in a process for forming a package structure, according to some embodiments.

Fig. 2A-2B illustrate cross-sectional and plan views of an intermediate step in a process for forming another package structure, according to some embodiments.

Fig. 3A-3E illustrate cross-sectional and plan views of a semiconductor device having a bonding structure, according to some embodiments.

Fig. 4A-4D illustrate cross-sectional views of intermediate steps in a process for forming a bond structure in a semiconductor device, according to some embodiments.

Fig. 5A-5B illustrate cross-sectional and plan views of a semiconductor device having a bonding structure according to some embodiments.

Fig. 6A-6E illustrate cross-sectional views of intermediate steps in a process for forming a bond structure in a semiconductor device, according to some embodiments.

Fig. 7A-7H illustrate cross-sectional views of intermediate steps in a process for forming a package structure, according to some embodiments.

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to limit the invention. For example, in the following description, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Further, the present invention may repeat reference numerals and/or characters in the various embodiments. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Also, spatially relative terms, such as "below …," "below …," "lower," "above …," "upper," and the like, may be used herein for ease of description to describe one element or component's relationship to another element (or other) component as illustrated. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Fig. 1A-1B illustrate an exemplary semiconductor device 100 and an exemplary wafer 200, according to some embodiments. The semiconductor device 100 and the wafer 200 may then be bonded together, for example using a pick and place process, or to form a portion of a packaged device. Semiconductor device 100 includes a substrate 102 and features formed over substrate 102. The substrate 102 may be a doped (e.g., doped with p-type or n-type dopants) or undoped semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like. Generally, an SOI substrate includes a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a Buried Oxide (BOX) layer, a silicon oxide layer, or the like. The insulating layer is disposed on a substrate, typically a silicon or glass substrate. Other substrates such as multilayer or gradient substrates may be used. In some embodiments, the semiconductor material of the substrate may include silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or a combination thereof. As shown in fig. 1A, the substrate 102 may have a thickness T1 of between about 100 μm and about 800 μm, and may have a dimension (i.e., length or width) L1 of between about 5000 μm and about 60000 μm.

In some embodiments, the semiconductor device 100 may be a semiconductor device such as a memory device, a logic device, a power device, a combination of these, or the like, designed to work with other devices within the package, including devices or structures within the wafer 200. However, any suitable functionality may be used. In some embodiments, integrated circuit devices are formed on the top surface of the substrate 102. Integrated circuit devices may include Complementary Metal Oxide Semiconductor (CMOS) transistors, resistors, capacitors, diodes, and the like. Details of the integrated circuit device are not shown here. In some embodiments, the semiconductor device 100 is used to form an interposer. In such embodiments, no active devices, such as transistors or diodes, are formed on the substrate 102. Passive devices such as capacitors, resistors, inductors, and the like, which may (or may not) be formed in the semiconductor device 100. In embodiments where the semiconductor device 100 is an interposer, the substrate 102 may also be a dielectric substrate. In addition, vias (not shown) may be formed to penetrate through the substrate 102 to interconnect components on opposite sides of the substrate 102.

The semiconductor device 100 also includes a plurality of bond pads 104 disposed within a bonding layer 106 formed on one side of the substrate 102. The bond pads 104 may be formed of a conductive material and may be electrically connected to integrated circuit devices, metal lines, interconnects, vias, or other components within the semiconductor device 100. In some cases, one or more metal layers (not shown) may be disposed between the substrate 102 and the bonding layer 106. In some embodiments, bond pads 104 may have an initial (i.e., prior to bonding or mounting to a bond head) pitch P1 of between about 5 μm and about 25 μm. In some embodiments, bond pads may be disposed on both sides of the substrate 102.

Wafer 200 includes substrate 202, dielectric layer 206, and bond pads 204. The substrate 202 may be similar to the substrate 102 of the semiconductor device 100 as described above, or may be different from the substrate 102. The dielectric layer 206 or the bond pad 204 may be similar to the surface bonding layer 106 or the bond pad 104, respectively, of the semiconductor device 100, or may be different. Bond pads 204 of wafer 200 may correspond to bond pads 104 of semiconductor device 100. For example, after bonding semiconductor device 100 to wafer 200, respective bond pads 104 and bond pads 204 may make electrical connections between semiconductor device 100 and wafer 200.

Fig. 1B shows the semiconductor device 100 shown in fig. 1A after thinning (e.g., by a Chemical Mechanical Polishing (CMP) process) and mounting to the bond head 150. For example, the bond head 150 may be used to bond the semiconductor 100 to the wafer 200 as part of a pick and place process. In some cases, semiconductor device 100 may be thinned to a thickness T2 of between about 7 μm and about 100 μm prior to mounting to bond head 150. In some cases, mounting the semiconductor device 100 to the bond head 150 may cause the semiconductor device 100 to bend into a curved shape, as shown in fig. 1B. As an illustrative example, in fig. 1A, the outer surface 151 of the bonding layer 106 has a relatively horizontal shape, but in fig. 1B, the outer surface 151 of the bonding layer 106 has a curved shape. In some embodiments, the bond head 150 is configured to generate warpage of the semiconductor device 100.

In some cases, the warping of semiconductor device 100 causes the outer surface 151 of bonding layer 106 to expand, which may also increase the pitch of some or all of the bonding pads 104. For example, due to warpage of the semiconductor device 100 when mounted to the bond head 150 (shown in fig. 1B), the bond pad 104 may have a warpage pitch P2 that is greater than the initial pitch P1 of the unmounted semiconductor device 100 (shown in fig. 1A). In some cases, some regions of the warped semiconductor device 100 may have a different pitch than other regions of the semiconductor device 100. For example, regions closer to the edges of the warped semiconductor device 100 may have a larger pitch than regions closer to the center. For the case where the pitch of the bond pads 104 increases from the initial pitch P1 to the warp pitch P2, some or all of the bond pads 104 of the semiconductor device 100 may not be precisely aligned with the corresponding bond pads 204 of the wafer 202. In some cases, misalignment between respective bond pads may result in increased resistance or open connections. Such misalignment may be closer to the edge of the semiconductor device 100. For example, as shown in fig. 1B, the misalignment D1 between respective bond pads near the center of the semiconductor device 100 is less than the misalignment D2 between respective bond pads near the edges of the semiconductor device 100. In some cases, the warpage of semiconductor device 100 may result in misalignment between respective bond pads up to about 3000 nm. In some cases, the misalignment of the respective bond pads prior to bonding (e.g., fig. 1B) may be different than the misalignment after bonding (e.g., fig. 3A).

Turning to fig. 2A-2B, a semiconductor device 100 and a wafer 200 are illustrated, according to some embodiments. The semiconductor device 100 and the wafer 200 may be similar to those described above with reference to fig. 1A-1B. In fig. 2A, the semiconductor device 100 is shown mounted to the bond head 150, but the warpage of the semiconductor device 100 is not shown for clarity. Fig. 2B shows a plan view of the semiconductor device 100, as represented by view B-B shown in fig. 2A. Fig. 2A shows a cross-sectional view through section a-a as shown in fig. 2B. Fig. 2A to 2B illustrate that the pitch of the bonding pads of the semiconductor device 100 increases due to the warpage of the semiconductor device 100, as described above.

In some embodiments, semiconductor device 100 includes an inner region 112 and an outer region 114, inner region 112 including inner bond pad 105, and outer region 114 including bond structure 120. In some embodiments, each of the bonding structures 120 includes two or more external bonding pads 107 electrically connected together by a parallel connection, as will be described in more detail below. By connecting a plurality of external bond pads 107 in the outer region 114, the resistive effects due to bond misalignment (such as described above with reference to fig. 1B) may be reduced. The inner bond pads 105 and/or the outer bond pads 107 may be similar to the bond pads 104 previously described. In some embodiments, the initial pitch (in one or two perpendicular directions) of the bonding structures 120 or the outer bonding pads 107 in the outer region 114 may be substantially the same as the initial pitch (in one or two perpendicular directions) of the inner bonding pads 105 of the inner region 112. In some embodiments, either outer region 114 or inner region 112 may include both inner bond pads 105 and outer bond pads 107. In some embodiments, the wafer 200 may further include an inner region 212 and an outer region 214, the inner region 212 having inner bond pads 205, and the outer region 214 having outer bond structures 220 and outer bond pads 207. The inner region 212, the inner bond pads 205, the outer region 214, or the outer bond structures 220 may be similar to the corresponding components described with reference to the semiconductor device 100.

The semiconductor device 100 shown in fig. 2B has a total width W1 and a total length L1. As an illustrative example, outer region 114 is shown as a region extending inward from an edge of semiconductor device 100 by a width W2 and a length L2. In some embodiments, the width W2 or length L2 of the outer region 114 may be defined as a fraction of the total width W1 or the total length L1. For example, the width W2 or the length L2 may be defined as between about 5% and about 20%, such as less than about 10%, of the total width W1 or the total length L1, respectively. For example, the width W2 may be about 10% of the total width W1, although other fractional values may be used. The fraction of width W2 in total width W1 may be the same as the fraction of length L2 in total length L1, or width W2 may have a different fraction value than length L2. In some cases, the distance or fractional value of the width W2 or length L2 may be determined based on an expected amount of expansion or an expected amount of misalignment. For example, in some cases, problems such as increased resistance due to misalignment may be most severe within width W2, which is about 10% of total width W1, although other distance or fractional values may be used in other cases. The outer regions 114 may also extend different distances from opposite or adjacent edges of the semiconductor device 100.

The outer region 114 shown in fig. 2B includes two rows of outer bond pads 107 (within the width W2) and two columns of outer bond pads 107 (within the length L2), but other embodiments may have one or more than two rows of outer bond pads 107 within the width W2 or one or more than two columns of outer bond pads 107 within the length L4. In some cases, the width W2 or length L2 may be defined based on the number of rows or columns of external bond pads 107 or based on a multiple of the initial pitch. In some cases, the size of the outer region 114 is determined by the warpage of the semiconductor device 100 due to mounting to the bond head 150. In some embodiments, the boundary between inner region 112 and outer region 114 may have a shape other than a rectangle, such as a cross, an ellipse, or the like.

Turning to fig. 3A-3E, a bonded semiconductor structure 300 is shown, according to some embodiments. In the embodiment shown in fig. 3A to 3E, the parallel connections between the electrically connected external bond pads of the external bond structures are formed in the same layer as the external bond pads. Bonded semiconductor structure 300 includes semiconductor device 100 bonded to wafer 200. The semiconductor device 100 and the wafer 200 may be similar to those described above with reference to fig. 2A-2B. The bonded semiconductor structure 300 includes an inner region 312 and an outer region 314, which may be similar to the inner region 112 or the outer region 114 previously described. In the inner region 312, the semiconductor device 100 and the wafer 200 are electrically connected through the inner bond pads 105 of the semiconductor device 100 and the inner bond pads 205 of the wafer 200. In the outer region 314, the semiconductor device 100 and the wafer 200 are electrically connected through the outer bond structures 120 of the semiconductor device 100 and the outer bond structures 220 of the wafer 200. Fig. 3A shows a cross-sectional view of a bonded semiconductor structure 300. Fig. 3B-3E show plan views of exemplary embodiments of bonded semiconductor structures 300 including portions of inner region 312 with exemplary inner bond pads 105 and inner bond pads 205 and including portions of outer region 314 with exemplary outer bond structures 120 and outer bond structures 220. Fig. 3A to 3E illustrate a case where the semiconductor device 100 and the wafer 200 have misalignment after bonding due to warpage of the semiconductor device 100 (as described previously).

As shown in fig. 3B-3E, the external bonding structure 120 of the semiconductor device 100 includes two external bonding pads 107 connected by one or more parallel connections 121, and the external bonding structure 220 of the wafer 200 includes two external bonding pads 207 connected by one or more parallel connections 221. As shown in fig. 3A to 3E, the parallel connection 121 may be disposed in the same layer as the external pad 107, and the parallel connection 221 may be disposed in the same layer as the external pad 207. In some embodiments, the parallel connection 121 (or 221) is a metal line connecting adjacent pairs of external bond pads 107 (or 207). The outer bond pads 107 may have the same initial pitch as the inner bond pads 105, and the outer bond pads 207 may have the same initial pitch as the inner bond pads 205. The width W3 of the parallel connection 121 (or 221) may be less than, about equal to, or greater than the size of the external bond pad 107 (or 207). For example, fig. 3B shows that the width W3 of the parallel connection 121 is smaller than the diameter of the outer bond pad 107. In some embodiments, parallel connection 121 (or 221) may have a width W3 of between about 500nm and about 3500 nm. Fig. 3B shows parallel connection 121 (and parallel connection 221) having a rectangular shape, but in other embodiments, parallel connection 121 (or 221) may have more than one width W3 along its length or have a different shape, such as a curved shape, a trapezoidal shape, a shape with one or more tapered portions, an irregular shape, or other shapes.

In the embodiment shown in fig. 3A to 3E, the external bonding pads 107 and the parallel connection 121 are both provided in an outer layer of the bonding layer 106. The top surfaces of the external bonding pads 107 and the parallel connection 121 are substantially coplanar and include portions not covered by the bonding layer 106. In this manner, both external bond pads 107 and parallel connections 121 may be used for external bond structures 120 to form electrical connections when semiconductor device 100 is bonded to wafer 200. For example, the outer bond structure 220 of the wafer 200 may include outer bond pads 207 and parallel connections 221 disposed in an outer layer of the dielectric layer 206 to make electrical connections with the outer bond structure 120. In some embodiments, the external bonding structures 120 of the semiconductor device 100 are bonded to corresponding bonding components of the wafer 200 (such as the external bonding structures 220, bond pads, or other types of components) to form electrical connections. By using the surface of the parallel connection 121 (or 221) other than the surface of the plurality of external bonding pads 107 (or 207) for some bonding electrical connections between the semiconductor device 100 and the wafer 200, the overlapping contact area of the bonded electrical connectors may be increased, which may reduce the contact resistance of the bonded electrical connectors. In addition, the increased area of the external bonding structures 120 (or 220) may allow for an increased overlap contact area if there is misalignment (such as the exemplary misalignment shown in fig. 3A-3E). In this way, the contact resistance of the bonded electrical connectors due to misalignment can be reduced near the edges of the semiconductor device 100 (where misalignment may be more pronounced).

Fig. 3C to 3E show further exemplary embodiments of the outer joint structure. The external bond structures 320A-320H of the semiconductor device 100 may be similar to the external bond structure 120 previously described. The outer bonding structures of wafer 200 are not labeled for clarity, but may be similar to outer bonding structures 120 or 320A-320H. In some embodiments, the external bond structures 120 on the semiconductor device 100 may have a different configuration than the corresponding external bond structures 220 on the wafer 200 to which they are bonded. The embodiments shown in fig. 3B-3E are non-limiting and illustrative examples, and other configurations, arrangements, or combinations of features not shown are intended to be within the scope of the present invention.

Fig. 3C shows an exemplary external engagement structure 320A and an exemplary external engagement structure 320B. The external bond structure 320A is similar to the external bond structure 120 except that the width of the parallel connection 121 is substantially the same as the diameter of the external bond pad 107. The external bonding structure 320B is similar to the external bonding structure 120 except that adjacent external bonding pads 107 are connected by two parallel connections 121. Fig. 3D shows an external engagement structure 320C and an external engagement structure 320D. The outer bond structure 320C includes three outer bond pads 107 electrically connected together, with a parallel connection 121 extending between each pair of adjacent outer bond pads 107. The external bond structure 320D is similar to the external bond structure 320C except that the parallel connections 121 between adjacent external bond pads 107 have different widths. In other embodiments, the parallel connections 121 connecting multiple external bond pads 107 may have different shapes, or a different number of parallel connections 121 may extend between different connected pairs of adjacent external bond pads 107.

Fig. 3E shows a corner of outer region 314, which includes exemplary outer engagement structures 320E-320H. For example, the corners of the outer region 314 may be near the corners of the semiconductor device 100. The external bond structure 320E includes a parallel connection 121 having a tapered shape, wherein the parallel connection has a greater width at one external bond pad 107 than at an opposite external bond pad 107. The external engagement structure 320F includes the parallel connection member 121 having a tapered shape in which the center of the parallel connection member 121 has a smaller width than either end of the parallel connection member 121. These are exemplary and other shapes of parallel connection 121 with tapered portions or portions having different widths are also possible. The external bonding structure 320G is similar to the other external bonding structures described except that the connected external bonding pads 107 are disposed along a first edge and are oriented perpendicular to the connected external bonding pads 107 disposed along a second edge (e.g., in the external bonding structures 320E-320F). In this way, the external bond pads 107 may be connected in different orientations on the same device. For example, the orientation of each pair of connected external bond pads 107 may be determined by the expected warpage of semiconductor device 100 after mounting to bond head 150. In some cases, the connected external bond pads 107 of the device may all have the same orientation. The external bond structure 320H includes three external bond pads 107 connected by parallel connections 121 having two different orientations. In some cases, having external bond pads 107 connected in different orientations may help improve electrical connections if the misalignment is along more than one direction.

Fig. 4A-4D illustrate cross-sectional views of intermediate stages in the formation of a bonding structure in a semiconductor device 400, according to some embodiments. The semiconductor device 400 may be similar to the semiconductor device 100 or the wafer 200 previously described. As shown in fig. 4A-4D, the semiconductor device 400 may have an inner region 412 and an outer region 414, which may be similar to the inner region 112 and the outer region 114 previously described. Semiconductor device 400 includes a substrate 402, and an optional interconnect structure 408 may be formed on substrate 402. The substrate 402 may be similar to the substrate 102 previously described. The interconnect structure 408 may include one or more layers, such as an interlayer dielectric (ILD) layer, that electrically connects to components present in the substrate 402, such as active devices, passive devices, conductive lines, and the like. Interconnect structure 408 may include conductive features such as metal lines 410 or vias 411.

Interconnect structure 408 can be formed from one or more layers of one or more dielectric materials. In some embodiments, the layers of the interconnect structure 408 are formed of a low-k dielectric material having a k value less than about 3.0 or an ultra-low k (elk) dielectric material having a k value less than about 2.5. In some embodiments, the layers of the interconnect structure 408 are formed of silicon oxide, silicon nitride, silicon carbide (SiC), silicon carbonitride (SiCN), silicon oxycarbonitride (SiOCN), and the like. In some embodiments, the interconnect structure 408 may include other layers, such as an etch stop layer or a diffusion barrier layer (not shown).

The metal lines 410 or vias 411 of the interconnect structure 408 may be formed using a single damascene and/or dual damascene process, a via-first process, a metal-first process, or other processes. In some embodiments, layers of the interconnect structure are formed and openings are formed therein using acceptable photolithography and etching techniques. A diffusion barrier layer (not shown) may be formed in the opening and may include a material such as TaN, Ta, TiN, Ti, CoW, etc., and may be formed in the opening by a deposition process such as CVD, ALD, etc. The conductive material may be formed of copper, aluminum, nickel, tungsten, cobalt, silver, combinations thereof, and the like in the opening and may be formed over the diffusion barrier layer in the opening by an electrochemical plating process, CVD, ALD, PVD, the like, or combinations thereof. After the diffusion barrier and conductive material are formed, excess diffusion barrier and conductive material may be removed by a planarization process, such as CMP, leaving metal lines 410 in the openings of the layers. The process may then be repeated to form multiple layers of interconnect structures 408 and metal lines 410 and vias 411 therein.

Turning to fig. 4B, a bonding layer 406 is formed over the interconnect structure 408. The bonding layer 406 may be similar to the bonding layer 106 previously described. The bonding layer 406 may be formed of a dielectric material, and may be a silicon-containing material such as silicon oxide, SiON, SiN, etc., or may be another type of dielectric material. The bonding layer 406 may be formed by a deposition process such as CVD, PECVD, PVD, ALD, the like, or combinations thereof. In some embodiments, the bonding layer 406 is formed to have a thickness between about 900nm and about 8000 nm.

Turning to fig. 4C, a pad opening 416 is formed in the bonding layer 406. Exemplary pad openings 416A and 416B of pad openings 416 are labeled in fig. 4C and described in more detail below. Pad opening 416 may be formed using acceptable photolithography and etching techniques. In an embodiment, the photolithography process may include forming a photoresist (not shown) over the bonding layer 406, patterning the photoresist with an opening corresponding to the pad opening 416, extending the pad opening 416 through the photoresist and into the bonding layer 406, and then removing the photoresist. The photoresist may be a single layer photoresist, a double layer photoresist, a triple layer photoresist, etc. An etching process is performed such that the pad opening 416 extends from the top of the bonding layer 406 to an intermediate point of the bonding layer 406. Etching of the pad opening 416 to such a depth may be performed using a time pattern, and may be stopped after the etching has been performed for a predetermined period of time. In some embodiments, the bonding layer 406 may include an intermediate etch stop layer that is used to determine the etch depth of the pad opening 416. Other etch and stop point detection techniques are also contemplated.

As shown in fig. 4C, pad openings 416 include inner pad openings 416A formed in inner region 412 and outer pad openings 416B formed in outer region 414. Inner pad openings 416A correspond to locations where inner bond pads will be formed and outer pad openings 416B correspond to locations where outer bond structures 420 will be formed, as described below. In some embodiments, the outer pad opening 416B may include a recess in which the outer bond pad 407 is subsequently formed and a groove in which the parallel connection 421 is subsequently formed, as described below. Other configurations of the outer pad openings 416 are possible. In some embodiments, the inner pad openings 416A may be evenly spaced apart by a distance corresponding to the pitch of the subsequently formed inner bond pads.

A via opening 418 can be formed in the bonding layer 406 as shown in fig. 4C. A via opening 418 is formed at the bottom of the pad opening 416 and extends from the bottom of the pad opening 416 to the bottom surface of the bonding layer 406, exposing the metal line 410. The via openings 418 may be formed using acceptable photolithography and etching techniques. The photolithography process may include forming a photoresist (not shown) over the bonding layer 406 and in the pad opening 416, patterning the photoresist with an opening corresponding to the via opening 418, extending the via opening 418 through the photoresist and the bonding layer 406, and then removing the photoresist. The photoresist may be a single layer photoresist, a double layer photoresist, a triple layer photoresist, etc. An etch stop layer (not shown) may be formed between the interconnect structure 408 and the bonding layer 406. In such embodiments, the via opening 418 may expose an etch stop layer (not shown) after formation. Portions of the etch stop layer may then be removed in another etch process to expose metal lines 410 and vias 411 of interconnect structure 408. The width of pad opening 416 is greater than the width of via opening 418.

In fig. 4D, via 413 is formed in via opening 418, inner bond pad 405 is formed in pad opening 416A, and outer bond structure 420 is formed in pad opening 416B. The inner bond pads 405 may be similar to the inner bond pads 105 previously described. External bond structure 420 may include external bond pads 407 and parallel connections 421, and may be similar to external bond structure 120 previously described. The vias 413, the inner bond pads 405, or the outer bond structures 420 may be formed of a conductive material including a metal or metal alloy, such as copper, silver, gold, tungsten, cobalt, aluminum, alloys thereof, and the like. In some embodiments, the formation of the via 413, the inner bond pad 405, or the outer bond structure 420 includes depositing a thin seed layer (not shown) in the pad opening 416 and the via opening 418, which may include copper or a copper alloy, and filling the remainder of the pad opening 416 and the via opening 418 using, for example, ECP or electroless plating. Excess conductive material and seed layer may be removed from the top surface of the bonding layer 406 using a planarization process such as CMP. In some cases, outer bond pads 407 having a pitch that is approximately the same as the pitch of inner bond pads 405 may allow for more uniform planarization across bonding layer 406. Deposition methods may also be included. The inner bond pad 405 or the outer bond structure 420 may be electrically connected to the interconnect structure 408 via a via 413. The processes shown in fig. 4A-4D represent exemplary processes that may be used to form the external bond structures 420, and in other embodiments, other processes and techniques may be used, such as a damascene process, a dual damascene process, or other processes. In some embodiments, the internal bond pads or external bond structures described above with reference to fig. 2A-3E or described below with reference to fig. 7A-7H may be formed using the processes shown in fig. 4A-4D or using different processes.

Turning to fig. 5A-5B, a bonded semiconductor structure 500 is shown, according to some embodiments. In the embodiment shown in fig. 5A to 5B, the parallel connections between the electrically connected external bond pads of the external bond structures are formed in a different layer than the external bond pads. Bonded semiconductor structure 500 includes semiconductor device 100 bonded to wafer 200. The semiconductor device 100 and the wafer 200 may be similar to those described above with reference to fig. 2A-2B. The bonded semiconductor structure 500 includes an inner region 512 and an outer region 514, which may be similar to the inner region 112 or the outer region 114 previously described. In the interior region 512, the semiconductor device 100 and the wafer 200 are electrically connected through the interior bond pads 105 of the semiconductor device 100 and the interior bond pads 205 of the wafer 200. In outer region 514, semiconductor device 100 and wafer 200 are electrically connected through outer bond structure 120 of semiconductor device 100 and outer bond structure 220 of wafer 200. Fig. 5A illustrates a cross-sectional view of a bonded semiconductor structure 500, and fig. 5B illustrates a plan view of some embodiments of the bonded semiconductor structure 500. Fig. 5A-5B illustrate the semiconductor device 100 and the wafer 200 having misalignment after bonding due to warpage of the semiconductor device 100 (as described previously).

As shown in fig. 5A-5B, the external bond structure 120 of the semiconductor device 100 includes two external bond pads 107 connected by one or more parallel connections 121, and the external bond structure 220 of the wafer 200 includes two external bond pads 207 connected by one or more parallel connections 221. As shown in fig. 5A to 5B, the parallel connection 121 may be disposed in a layer different from the external pad 107 and connected to the external pad 107 through a via hole, and the parallel connection 221 may be disposed in a layer different from the external bonding pad 207 and connected to the external bonding pad 207 through a via hole. The description of the external bond structure 120 of the semiconductor device 100 may also apply to the external bond structure 220 of the wafer 200. The outer bond pads 107 may have the same pitch as the inner bond pads 105, and the outer bond pads 207 may have the same pitch as the inner bond pads 205. The width W4 of the parallel connection 121 (or 221) may be less than, substantially equal to, or greater than the size of the external bond pad 107. For example, fig. 5B shows the width W4 of the parallel connection 121 to be smaller than the diameter of the outer bond pad 107. In some embodiments, parallel connection 121 may have a width W4 of between about 500nm and about 3500nm or a length L5 of between about 7000nm and about 12000 nm. Fig. 5B shows parallel connection 121 (and parallel connection 221) having a rectangular shape, but in other embodiments, parallel connection 121 (or 221) may have more than one width W4 along its length or have a different shape, such as a curved shape, a trapezoidal shape, a shape with one or more tapered portions, an irregular shape, or other shapes. For example, the parallel connection 121 and the external bond pad 107 may have a configuration or arrangement similar to that previously described with reference to fig. 3B-3E, except that the parallel connection 121 is disposed in a different layer than the external bond pad. In some embodiments, the bonded semiconductor device may include an external bonding structure having parallel connections in the same layer as the external bonding pads, and an external bonding structure having parallel connections in a different layer from the external bonding pads. In some embodiments, the external bond structure may be provided with one or more parallel connections in the same layer as the external bond pads and one or more parallel connections in a different layer from the external bond pads.

In the embodiment shown in fig. 5A to 5B, the external bonding pads 107 and the parallel connection 121 are provided in an outer layer of the bonding layer 106. The top surfaces of the external bonding pads 107 and the parallel connection 121 are substantially coplanar and include portions not covered by the bonding layer 106. In this manner, when the semiconductor device 100 is bonded to the wafer 200, both the outer bond pads 107 and the parallel connection 121 may be used for the outer bond structures 120 to form electrical connections. For example, the outer bond structure 220 of the wafer 200 may include outer bond pads 207 and parallel connections 221 disposed in an outer layer of the dielectric layer 206 to make electrical connections with the outer bond structure 120. In some embodiments, the external bonding structures 120 of the semiconductor device 100 are bonded to corresponding bonding components of the wafer 200 (such as the external bonding structures 220, bond pads, or other types of components) to form electrical connections.

By electrically connecting multiple external bond pads 107 using parallel connections 121, the contact area of the bonded electrical connectors may be increased, which may reduce the contact resistance of the bonded electrical connectors if there is misalignment. In this way, if there is misalignment, the contact resistance of the bonded electrical connectors near the edge of the semiconductor device due to the misalignment can be reduced. In addition, by having the parallel connection 121 disposed in a different layer than the outer bond pads 107, the pitch and distribution of the outer bond pads 107 may be similar to the pitch of the inner bond pads 105, and thus the entire bonding surface of the semiconductor device 100 may have a more uniform distribution of surface features. In some cases, in this way, a more uniform distribution of surface features may allow for more uniform planarity, which may allow for improved bonding between surfaces, for example, after a planarization process. Thus, embodiments herein may allow for improved electrical connections between bonded devices without significantly degrading the quality of the bond.

Fig. 6A-6E illustrate cross-sectional views of intermediate stages in the formation of a bonding structure in a semiconductor device 600, according to some embodiments. The semiconductor device 600 may be similar to the semiconductor device 100 or the wafer 200 previously described. As shown in fig. 6A-6E, the semiconductor device 600 may have an inner region 612 and an outer region 614, which may be similar to the inner region 112 and the outer region 114 previously described. Semiconductor device 600 includes a substrate 602 having an interconnect structure 608 formed thereon. The substrate 602 may be similar to the substrate 102 previously described. Interconnect structure 608 may be similar to interconnect structure 408 previously described. The interconnect structure 608 may include conductive features such as metal lines 610 or vias 611. In some embodiments, interconnect structure 608 includes one or more parallel connections 621. The parallel connection 621 may be similar to the parallel connection 121 previously described in fig. 5A-5B. In some cases, parallel connection 621 is similar to metal line 610.

The metal lines 610, vias 611, or parallel connections 621 of the interconnect structure 608 may be formed using techniques similar to those previously described with reference to the interconnect structure 408, such as using single and/or dual damascene processes. In some cases, parallel connection 621 may be formed using a different technique than metal line 610. In some embodiments, a layer is formed that includes parallel connection 621 having a thickness between about 900nm and about 1800 nm. In some embodiments, the parallel connections 621 are formed in a different layer than the interconnect structure 608 shown, and some parallel connections 621 may be formed in a different layer than other parallel connections 621.

Turning to fig. 6B, a bonding layer 606 is formed over the interconnect structure 608. The bonding layer 606 may be similar to the bonding layer 106 previously described. In some embodiments, the bonding layer 606 is formed to have a thickness between about 900nm and about 8000 nm. Turning to fig. 6C, a pad opening 616 is formed in the bonding layer 606. Exemplary pad openings 616A and 616B of pad openings 616 are labeled in fig. 6C and described in more detail below. Pad opening 616 may be formed using techniques similar to those previously described with respect to pad opening 416. As shown in fig. 6C, pad openings 616 include an inner pad opening 616A formed in inner region 612 and an outer pad opening 616B formed in outer region 614. The inner pad openings 616A may be similar to the outer pad openings 616B, and may have a width between about 2000nm and about 3500nm in some embodiments. The inner pad openings 616A correspond to locations where inner pads will be formed and the outer pad openings 616B correspond to locations where outer pads will be formed, as described below. The inner pad openings 616A and the outer pad openings 616B may be evenly spaced apart by a distance corresponding to the pitch of the subsequently formed inner bond pads.

Turning to fig. 6D, a via opening 618 can be formed in the bonding layer 606. A via opening 618 is formed at the bottom of the pad opening 616 and extends from the bottom of the pad opening 616 to the bottom surface of the bonding layer 606, thereby exposing the parallel connection 621 or some of the metal lines 610. The via openings 618 may be formed using techniques similar to those previously described with reference to the via openings 418. The via opening 618 may be formed within the inner pad opening 616A or the outer pad opening 616B. As an illustrative example, fig. 6D shows three via openings 618 formed in the outer pad opening 616B, but other numbers of via openings 618 may be formed within the inner pad opening 616A or the outer pad opening 616B. The width of the via opening 618 may be the same as the width of the outer pad opening 616B or smaller than the width of the outer pad opening 616B.

In fig. 6E, vias 622 are formed in the via openings 618, and inner bond pads 605 are formed in the pad openings 616A, and outer bond pads 607 are formed in the pad openings 616B. The inner bond pads 605 may be similar to the inner bond pads 105 previously described. The external bonding structure 620 may include external bonding pads 607, vias 622, and parallel connections 621, and may be similar to the external bonding structure 120 previously described. The vias 622, inner bond pads 605, or outer bond pads 607 may be formed using techniques similar to those previously described with reference to fig. 4D. Vias 622 form electrical connections between the outer bond pads 607 and the parallel connections 621, or between the inner bond pads 605 and the interconnect structure 608 (not shown). In some cases, outer bond pads 607 having a pitch that is approximately the same as the pitch of inner bond pads 605 may allow for more uniform planarization across bonding layer 606. The inner bond pad 605 or the outer bond structure 620 may be electrically connected to the interconnect structure 608 through a via 611. The processes shown in fig. 6A-6E represent exemplary processes that may be used to form the external bond structures 620, and other processes and techniques, such as a damascene process, a dual damascene process, or other processes, may be used in other embodiments. In some embodiments, the internal bond pads or external bond structures described above with reference to fig. 2A-3E or described below with reference to fig. 7A-7H may be formed using the processes shown in fig. 6A-6E or using different processes.

Fig. 7A-7H illustrate cross-sectional views of intermediate stages in the formation of a packaged device 700 according to some embodiments. In some embodiments, packaged device 700 may be an integrated fan out (InFO) package. In fig. 7A, a top device 702 is placed on a package assembly 710. The top device 702 may be similar to the semiconductor device 100 and the package assembly 710 may be similar to the wafer 200 previously described, but in different embodiments the top device 702 or the package assembly 710 may be different. The top device 702 includes inner bond pads 706 and outer bond structures 708 formed at least partially in a bonding layer 703, and the package assembly 710 includes inner bond pads 716 and outer bond structures 718 formed at least partially in a bonding layer 713. The bonding layer 703 or the bonding layer 713 may be similar to the bonding layers 106, 406, or 606 previously described. Inner bond pad 706 or inner bond pad 716 may be similar to inner bond pads 105, 405, or 605 previously described. The external engagement structure 708 or the external engagement structure 718 may be similar to the previously described external engagement structures 120, 420, or 620. The top device 702 may be placed using a pick and place process or other processes, and may be placed using the bond head 150 in some embodiments. In fig. 7A, the top device 702 is shown mounted to the bond head 150, but the warpage of the top device 702 is not shown for clarity. The top device 702 may be thinned prior to placement.

In fig. 7B, the top device 702 is bonded to the package assembly 710 using, for example, direct bonding or hybrid bonding. Prior to performing the bonding, a surface treatment may be performed on the top device 702 or the package assembly 710. In some embodiments, the surface treatment comprises a plasma treatment. The plasma treatment may be performed in a vacuum environment (e.g., a vacuum chamber, not shown). The process gas for generating plasma may be a hydrogen-containing gas including a first gas containing hydrogen (H2) and argon (Ar), a second gas containing H2 and nitrogen (N2), or a third gas containing H2 and helium (He). Plasma treatment may also be performed using pure or substantially pure H2, Ar, or N2 as process gases, which treat the surfaces of inner bond pads 706 and 716 and outer bond structures 708 and 718 and bonding layers 703 and 713. The top device 702 and the package assembly 710 may be treated with the same surface treatment process or with different surface treatment processes. In some embodiments, the top device 702 and/or the package assembly 710 may be cleaned after surface treatment. Cleaning may include performing a chemical clean and a deionized water clean/rinse.

Next, a pre-bonding process may be performed using the top device 702 and the package assembly 710. The top device 702 and the package assembly 710 are aligned, with the internal bond pads 706 of the top device 702 aligned with the internal bond pads 716 of the package assembly 710 and the external bond structures 708 of the top device 702 aligned with the external bond structures 718 of the package assembly 710. After alignment, the top device 702 and the package assembly 710 are pressed against each other. In some embodiments, the pressure may be less than about 5 newtons per die, although greater or lesser forces may also be used. The pre-bonding process may be performed at room temperature (e.g., at a temperature of about 21 ℃ to about 25 ℃), although higher temperatures may be used. For example, the pre-engagement time may be less than about 1 minute.

After pre-bonding, the bonding layer 703 of the top device 702 and the bonding layer 713 of the package assembly 710 are bonded to each other. The combination of the top device 702 and the package assembly 710 is hereinafter referred to as a bonded pair 750. The bond of bond pair 750 may be enhanced in a subsequent annealing step. For example, the bond pair 750 may be annealed at a temperature of about 300 ℃ to about 400 ℃. The annealing may be carried out for a period of time, for example, from about 1 hour to about 2 hours. During annealing, the metals in bond pads 706 and 716 and outer bond structures 708 and 718 may diffuse into each other, thereby also forming a metal-to-metal bond. Thus, the resulting bond between the top device 702 and the package assembly 710 may be a hybrid bond.

As shown in fig. 7C, each of the bonded pairs 750 is then singulated. For example, one or more saw blades may be used to segment the joint pair 750. However, any suitable singulation method may be used, including laser ablation or one or more wet etches. In some cases, the top device 702 and/or the package assembly 710 may be thinned prior to singulation.

Fig. 7D shows a carrier substrate 721 having an adhesive layer 723 and a polymer layer 725 positioned over the adhesive layer 723. In some embodiments, the carrier substrate 721 comprises, for example, a silicon-based material such as glass or silicon oxide, or other materials such as aluminum oxide, combinations of any of these, and the like. The carrier substrate 721 may be flat to accommodate attachment of semiconductor devices such as bond pairs 750. An adhesive layer 723 is placed on the carrier substrate 721 to facilitate adhesion of the above structure (e.g., polymer layer 725). In some embodiments, the adhesive layer 723 may comprise a light-to-heat conversion (LTHC) material or uv glue that loses its adhesion when exposed to uv light. However, other types of adhesives may also be used, such as pressure sensitive adhesives, radiation curable adhesives, epoxies, combinations of these, and the like. The adhesive layer 723 may be placed on the carrier substrate 721 in a semi-liquid or gel form that is easily deformable under pressure.

A polymer layer 725 is placed over the adhesive layer 723 and is used to provide protection for, for example, the bond pair 750. In some embodiments, polymer layer 725 can be Polybenzoxazole (PBO), but any suitable material can alternatively be utilized, such as polyimide or a polyimide derivative, and the like. Polymer layer 725 can be placed to a thickness of between about 2 μm and about 15 μm (such as about 5 μm) using, for example, a spin coating process, but any suitable method and thickness can alternatively be used. A bond pair 750 is attached to polymer layer 725. In some embodiments, bond pair 750 may be placed using, for example, a pick and place process. However, any suitable method of placing the bond pairs 750 may be used.

In some embodiments, a via, such as a dielectric via (TDV)727, is formed over polymer layer 725. In some embodiments, a seed layer (not shown) is first formed over polymer layer 725. The seed layer is a thin layer of conductive material that facilitates the formation of a thicker layer in subsequent process steps. In some embodiments, the seed layer may comprise about

Figure BDA0002111895410000191

A thick titanium layer, and subsequently

Figure BDA0002111895410000192

A thick copper layer. The seed layer may be generated using a process such as sputtering, evaporation or PECVD processes, depending on the desired material. Once the seed layer is formed, a photoresist (not shown) may be formed and patterned over the seed layer. A TDV727 is then formed within the patterned photoresist. In some embodiments, the TDV727 comprises one or more conductive materials, such as copper, tungsten, other conductive metals, and the like, and may be formed, for example, by electroplating, electroless plating, and the like. In some embodiments, an electroplating process is used in which the seed layer and photoresist are immersed or immersed in an electroplating solution. Once the TDV727 is formed using the photoresist and seed layer, the photoresist may be removed using a suitable removal process. In some embodiments, mayThe photoresist is removed using a plasma ashing process, whereby the temperature of the photoresist can be increased until the photoresist undergoes thermal decomposition and can be removed. However, any other suitable process, such as wet stripping, may alternatively be used. The removal of the photoresist may expose portions of the underlying seed layer. Once the TDV727 is formed, the exposed portions of the seed layer are then removed, for example using a wet or dry etch process. The TDV727 can be formed to a height of between about 180 μm and about 200 μm with a critical dimension of about 190 μm and a pitch of about 300 μm.

FIG. 7E shows the sealed joint pair 750 and TDV727 with sealant 729. The sealant 729 may be a molding compound such as resin, polyimide, PPS, PEEK, PES, heat resistant crystalline resin, combinations of these, and the like. Fig. 7F shows thinning of the encapsulant 729 to expose the TDV727 and bond pair 750. Thinning may be performed, for example, using a CMP process or other process.

Fig. 7G illustrates the formation of a redistribution structure 1000 having one or more layers over encapsulant 729. In some embodiments, the redistribution structure 1000 may be formed by initially forming a first redistribution passivation layer 1001 over the encapsulant 729. In some embodiments, the first redistribution passivation layer 1001 may be Polybenzoxazole (PBO), but any suitable material may be used, such as polyimide or a polyimide derivative, such as a low temperature cured polyimide. The first redistribution passivation layer 1001 may be placed to a thickness between about 5 μm and about 17 μm (such as about 7 μm) using, for example, a spin coating process, although any suitable method and thickness may alternatively be used.

Once the first redistribution passivation layer 1001 is formed, a first redistribution via 1003 may be formed through the first redistribution passivation layer 1001 to make electrical connection to the bond pair 750 and TDV 727. In some embodiments, the first redistribution via 1003 may be formed by using a damascene process, a dual damascene process, or other processes. After forming the first redistribution via 1003, a first redistribution layer 1005 electrically connected to the first redistribution via 1003 is formed over the first redistribution via 1003. In some embodiments, first redistribution layer 1005 may be formed by initially forming a seed layer (not shown) of a titanium-copper alloy by a suitable formation process, such as CVD or sputtering. A photoresist (also not shown) may then be formed to cover the seed layer, and the photoresist may then be patterned to expose those portions of the seed layer where the first redistribution layer 1005 is desired.

Once the photoresist is formed and patterned, a conductive material, such as copper, may be formed on the seed layer by a deposition process, such as plating. The conductive material may be formed to have a thickness between about 1 μm and about 10 μm, such as about 4 μm. However, while the materials and methods discussed are suitable for forming conductive materials, these materials are merely exemplary. Any other suitable material, such as AlCu or Au, and any other suitable formation process, such as CVD or PVD, may optionally be used to form the first redistribution layer 1005.

After forming the first redistribution layer 1005, a second redistribution passivation layer 1007 may be formed and patterned to help isolate the first redistribution layer 1005. In some embodiments, the second redistribution passivation layer 1007 may be similar to the first redistribution passivation layer 1001, such as by being a positive PBO, or may be different from the first redistribution passivation layer 1001, such as by being a negative material, such as a low temperature cured polyimide. The second redistribution passivation layer 1007 may be placed to a thickness of about 7 μm. Once placed, the second redistribution passivation layer 1007 may be patterned to form openings using, for example, a photolithographic masking and etching process, or if the material of the second redistribution passivation layer 1007 is photosensitive, the material of the second redistribution passivation layer 1007 is exposed and developed. However, any suitable patterning materials and methods may be used.

After patterning the second redistribution passivation layer 1007, a second redistribution layer 1009 may be formed to extend through an opening formed in the second redistribution passivation layer 1007 and make an electrical connection with the first redistribution layer 1005. In some embodiments, the second redistribution layer 1009 may be formed using similar materials and processes as the first redistribution layer 1005. For example, the seed layer may be applied and covered by a patterned photoresist, a conductive material such as copper may be applied onto the seed layer, the patterned photoresist may be removed, and the seed layer may be etched using the conductive material as a mask. In some embodiments, the second redistribution layer 1009 is formed to a thickness of about 4 μm. However, any suitable material or manufacturing process may be used.

After forming the second redistribution layer 1009, a third redistribution passivation layer 1011 is applied over the second redistribution layer 1009 to help isolate and protect the second redistribution layer 1009. In some embodiments, the third redistribution passivation layer 1011 may be formed of a similar material and in a similar manner to the second redistribution passivation layer 1007 to a thickness of about 7 μm. For example, third redistribution passivation layer 1011 may be formed from PBO or a low temperature cured polyimide that has been applied and patterned as described above with respect to second redistribution passivation layer 1007. However, any suitable material or manufacturing process may be used.

After patterning third redistribution passivation layer 1011, third redistribution layer 1013 may be formed to extend through the opening formed within third redistribution passivation layer 1011 and make electrical connection with second redistribution layer 1009. In some embodiments, the third redistribution layer 1013 may be formed using similar materials and processes as the first redistribution layer 1005. For example, the seed layer may be applied and covered by a patterned photoresist, a conductive material such as copper may be applied onto the seed layer, the patterned photoresist may be removed, and the seed layer may be etched using the conductive material as a mask. In some embodiments, the third redistribution layer 1013 is formed to a thickness of about 5 μm. However, any suitable material or manufacturing process may be used.

After forming the third redistribution layer 1013, a fourth redistribution passivation layer 1015 is formed over the third redistribution layer 1013 to help isolate and protect the third redistribution layer 1013. In some embodiments, the fourth redistribution passivation layer 1015 may be formed of a similar material and in a similar manner as the second redistribution passivation layer 1007. For example, the fourth redistribution passivation layer 1015 may be formed of PBO or a low temperature cured polyimide, which has been applied and patterned as described above with reference to the second redistribution passivation layer 1007. In some embodiments, the fourth redistribution passivation layer 1015 is formed to a thickness of about 8 μm. However, any suitable material or manufacturing process may be used.

In other embodiments, the redistribution vias and redistribution layers of the redistribution structure 1000 may be formed using a damascene process, such as a dual damascene process. For example, a first redistribution passivation layer may be formed over the sealant 729. The first redistribution passivation layer is then patterned using one or more photolithography steps to form openings for the vias and openings for the conductive lines within the first redistribution passivation layer. Conductive material may be formed in the openings for the vias and the openings for the wires to form a first redistribution via and a first redistribution layer. Additional redistribution passivation layers may be formed over the first redistribution passivation layer, and additional sets of redistribution vias and wires may be formed in the additional redistribution passivation layers, as described for the first redistribution passivation layer, to form the redistribution structure 1000. This or other techniques may be used to form the redistribution structure 1000.

Fig. 7G additionally illustrates the formation of the underbump metallization 1019 and the third external connection 1017 to make an electrical connection with the third redistribution layer 1013. In some embodiments, each of the underbump metallization 1019 may include three layers of conductive material, such as a titanium layer, a copper layer, and a nickel layer. However, one of ordinary skill in the art will recognize that there are many suitable materials and layer arrangements suitable for forming the underbump metallization 1019, such as a chrome/chrome copper alloy/copper/gold arrangement, a titanium/titanium tungsten/copper arrangement, or a copper/nickel/gold arrangement. Any suitable material or layer of material that may be used for the underbump metallization 1019 is fully intended to be included within the scope of the embodiments.

In some embodiments, the under bump metallization 1019 is created by forming each layer over the third redistribution layer 1013 and along the inside of the opening through the fourth redistribution passivation layer 1015. The formation of each layer may be carried out using a plating process such as electrochemical plating, but other formation processes such as sputtering, evaporation or PECVD processes may be used, depending on the materials required. The underbump metallization 1019 may be formed to have a thickness between about 0.7 μm and about 10 μm, such as about 5 μm.

In some embodiments, the third external connection 1017 may be placed over the underbump metallization 1019 and may be a Ball Grid Array (BGA) including a eutectic material such as solder, although any suitable material may alternatively be used. In some embodiments where the third external connection 1017 is a solder ball, the third external connection 1017 may be formed using a ball drop method such as a direct ball drop process. In another embodiment, the solder balls may be formed by first forming a layer of tin by any suitable method, such as evaporation, electroplating, printing, solder transfer, and then performing a reflow to shape the material into the desired bump shape. Once the third external connection 1017 is made, a test may be performed to ensure that the structure is suitable for further processing.

The polymer layer 725 is then patterned to expose the TDV 727. In some embodiments, polymer layer 725 can be patterned using, for example, a laser drilling process. In this method, a protective layer, such as a light-to-heat conversion (LTHC) layer or a water-soluble protective film (hogomax) layer (not separately shown in fig. 7G), is first deposited over the polymer layer 725. Once protected, the laser light is directed to those portions of polymer layer 725 that need to be removed to expose the underlying TDV 727. During the laser drilling process, the drilling energy may range from 0.1mJ to about 30mJ, and the drilling angle is about 0 degrees (perpendicular to polymer layer 725) to about 85 degrees relative to the normal to polymer layer 725. In some embodiments, the patterning may be formed to form an opening having a width between about 100 μm and about 300 μm (such as about 200 μm) over the TDV 727.

In another embodiment, polymer layer 725 can be patterned by first applying a photoresist (not separately shown in fig. 7G) to polymer layer 725, and then exposing the photoresist to a patterned energy source (e.g., a patterned light source) to cause a chemical reaction, thereby causing a physical change in those portions of the photoresist that are exposed to the patterned light source. A developer is then applied to the exposed photoresist to selectively remove either the exposed portions of the photoresist or the unexposed portions of the photoresist using physical changes and according to a desired pattern, and the exposed portions of the underlying polymer layer 725 are removed using, for example, a dry etching process. However, any other suitable method for patterning the polymer layer 725 may be used.

Fig. 7H shows the bonding of package 1100 to TDV727 through polymer layer 725. The carrier substrate 721 and the adhesive layer 723 are removed from the polymer layer 725 prior to bonding the package 1100. The polymer layer 725 is also patterned to expose the TDV 727. In some embodiments, package 1100 may include additional substrates, additional semiconductor devices, interconnects, interposers, and so forth. The additional semiconductor devices may include one or more semiconductor devices designed for an intended purpose, such as a memory die (e.g., a DRAM die), a logic die, a Central Processing Unit (CPU) die, combinations of these, and so forth. In some embodiments, the one or more additional semiconductor devices comprise integrated circuit devices, such as transistors, capacitors, inductors, resistors, first metal layers (not shown), and the like, as desired for a particular function. In some embodiments, one or more additional semiconductor devices are designed and fabricated to work in conjunction with or simultaneously with the bond pair 750. A sealant 1103 may be used to seal and protect the package 1100.

In some embodiments, external connections 1101 may be formed to provide external connections between package 1100 and, for example, TDV 727. The external connections 1101 may be contact bumps such as micro-bumps or controlled collapse chip connection (C4) bumps, and may comprise a material such as tin or other suitable material such as silver or copper. In some embodiments where the external connections 1101 are solder bumps, the external connections 1101 may be formed by first forming a layer of tin having a thickness of, for example, about 100 μm by any suitable method, such as evaporation, electroplating, printing, solder transfer, ball placement, and the like. Once the tin layer is formed on the structure, reflow is performed to shape the material into the desired bump shape.

Once the external connection 1101 is made, the external connection 1101 is aligned with the TDV727 and placed over the TDV727, and a splice is made. For example, in some embodiments where the external connections 1101 are solder bumps, the bonding process may include a reflow process, whereby the temperature of the fourth external connection 1101 is raised to the point where the external connection 1101 will liquefy and flow, thereby causing the package 1100 to be bonded to the TDV727 once the external connection 1101 is re-solidified.

43页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于显示器的电路基板、制备方法及显示器

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类