集成电路结构、布局图方法和系统

文档序号:1568884 发布日期:2020-01-24 浏览:32次 >En<

阅读说明:本技术 集成电路结构、布局图方法和系统 (Integrated circuit structure, layout method and system ) 是由 彭士玮 赖志明 杨超源 曾健庭 林威呈 于 2019-04-17 设计创作,主要内容包括:本发明的实施例提供了集成电路结构、布局图方法和系统。IC结构包括第一金属层中的第一多个金属区段,位于第一金属层上方的第二金属层中的第二多个金属区段,以及位于第二金属层上方的第三金属层中的第三多个金属区段。第一多个金属区段和第三多个金属区段中的金属区段在第一方向上延伸,以及第二多个金属区段的金属区段在与第一方向垂直的第二方向上延伸。第三多个金属区段的节距小于第二多个金属区段的节距。(Embodiments of the invention provide integrated circuit structures, layout methods and systems. The IC structure includes a first plurality of metal segments in a first metal layer, a second plurality of metal segments in a second metal layer above the first metal layer, and a third plurality of metal segments in a third metal layer above the second metal layer. The metal segments of the first and third pluralities of metal segments extend in a first direction, and the metal segments of the second plurality of metal segments extend in a second direction perpendicular to the first direction. The pitch of the third plurality of metal segments is less than the pitch of the second plurality of metal segments.)

集成电路结构、布局图方法和系统

技术领域

本发明的实施例总体涉及半导体领域,更具体地,涉及集成电路结构、布局图方法和系统。

背景技术

集成电路(IC)通常包括以IC布局图表示的多个半导体器件。IC布局图是分层的,并且包括根据半导体器件的设计规范执行更高级功能的模块。模块通常由单元的组合构建,每个单元表示配置为实施特定功能的一个或多个半导体结构。

各单元通常具有共同的高度以便放置到IC布局图中。为了形成更高级的模块并实现外部连接,通过在多个上面的金属层中形成的互连结构使各单元和其他IC部件布线至彼此。单元放置和互连布线是IC的整体设计工艺的部分。

发明内容

根据本发明的一个方面,提供了一种集成电路(IC)结构,包括:第一多个金属区段,位于第一金属层中,所述第一多个金属区段的每个金属区段在第一方向上延伸;第二多个金属区段,位于所述第一金属层上方的第二金属层中,所述第二多个金属区段中的每个金属区段均在与所述第一方向垂直的第二方向上延伸;以及第三多个金属区段,位于所述第二金属层上方的第三金属层中,所述第三多个金属区段中的每个金属区段均在所述第一方向上延伸,其中,所述第三多个金属区段的节距小于所述第二多个金属区段的节距。

根据本发明的另一个方面,提供了一种生成集成电路(IC)布局图的方法,所述方法包括:在金属2层中布置多个金属2区段,其中,所述多个金属2区段中的金属2区段与所述集成电路布局图中的单元重叠,和所述多个金属2区段在第一方向上具有第一节距;在金属3层中布置多个金属3区段,所述多个金属3区段在与所述第一方向垂直的第二方向上具有第二节距;以及在金属4层中布置多个金属4区段,所述多个金属4区段在所述第一方向上具有第三节距,其中,所述第三节距小于所述第二节距,以及通过计算机的处理器执行布置所述多个金属2区段、布置所述多个金属3区段和布置所述多个金属4区段中的至少一个。

根据本发明的又一个方面,提供了一种集成电路(IC)布局图生成系统,包括:处理器;以及非暂时性计算机可读存储介质,包括用于一个或多个程序的计算机程序代码,所述非暂时性计算机可读存储介质和所述计算机程序代码配置为与所述处理器一起使得所述系统:将单元放置在集成电路布局图中;通过沿着在第一方向上具有第一节距的第一多个导轨来定位金属2区段,将所述金属2区段布线至所述单元;通过沿着在与所述第一方向垂直的第二方向上具有第二节距的第二多个导轨来定位金属3区段,将所述金属3区段布线至所述金属2区段;通过沿着在所述第一方向上具有第三节距的第三多个导轨来定位金属4区段,将所述金属4区段布线至所述金属3区段;和根据所述单元、所述金属2区段、所述金属3区段和所述金属4区段生成集成电路布局文件,其中,所述第三节距小于所述第二节距。

附图说明

当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。

图1是根据一些实施例的生成IC布局图的方法的流程图。

图2A至图2D描述了根据一些实施例的IC布局图。

图2E描述了根据一些实施例的布局图部件和利用关系。

图3A至图3C是根据一些实施例的IC结构的图。

图4是根据一些实施例的将连接布线至单元的方法的流程图。

图5是根据一些实施例的IC布局图生成系统的示意图。

图6描述了根据一些实施例的IC制造系统和与其相关联的IC制造流程。

具体实施方式

以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件、值、操作、材料、布置等的特定实例以简化本发明。当然这些仅是实例并不旨在限定。其他组件、值、操作、材料、布置等是预期的。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。

而且,为了便于描述,在此可以使用诸如“在…下方”、“在…下面”、“下部”、“在…之上”、“上部”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地作出相应的解释。

在各个实施例中,生成用于制造IC结构的IC布局图包括布置金属区段的第一、第二和第三层作为将电连接件布线至单元和具有部件高度的其他布局图部件的部分。金属区段的第三层具有沿部件高度方向的节距,以及金属区段的第三层的节距小于第二层的节距。由于第三层的节距相对较小,与其中给定层的节距不小于下部金属层的节距的方法相比,改善了布线灵活性。与没有相对较小的节距的方法相比,更大的灵活性通过允许诸如标准单元的布局图部件的更紧凑布置,使得能够提高芯片面积的利用率。

图1是根据一些实施例的生成IC的布局图的方法100的流程图。方法100的操作能够作为形成IC或IC的部分(例如,下面相对于图3A至图3C讨论的IC结构300)的方法的部分来实施。在一些实施例中,形成IC是形成一个或多个IC器件的部分,其中,IC器件的非限制性实例包括存储器电路、逻辑器件、处理器件、信号处理电路等。

能够作为自动放置和布线(APR)方法(例如,由APR系统实施的APR方法)的部分来实施方法100的一些或全部操作。在一些实施例中,方法100的一些或全部操作作为下面相对于图4讨论的将连接件布线至单元的方法400的部分来实施。方法100的一些或全部操作能够作为在设计室(例如,下面相对于图6讨论的设计室620)中实施的设计过程的部分来实施。

在一些实施例中,通过计算机的处理器执行方法100的一些或全部。在一些实施例中,由IC布局图生成系统500的处理器502执行方法100的一些或全部,下面相对于图5进行讨论。

在各个实施例中,以图1中描述的顺序实施或以除了图1中描述的顺序之外的一个或多个顺序实施方法100的操作。在一些实施例中,在方法100的一个或多个操作之前、之间、期间和/或之后实施一个或多个额外的操作。

图2A至图2D描述了在一些实施例中通过执行方法100的一个或多个操作在生成IC布局图200的各个阶段处的IC布局图200的平面图的非限制性实例。为了清楚,简化了IC布局图200。在各个实施例中,IC布局图200包括除了图2A至图2D中所述的那些(例如,一个或多个晶体管元件、电源导轨、隔离结构等)之外的部件。图2A至图2D中的每个进一步描述了水平X方向和垂直Y方向。

图2E描述了根据一些实施例的受执行方法100的一个或多个操作影响的布局图部件和利用关系。

在操作110处,在IC布局图的第一金属层中布置第一多个金属区段,第一多个金属区段在第一方向上具有第一节距。第一多个金属区段的每个金属区段具有在第一方向上的宽度和在与第一方向垂直的第二方向上的长度。在各个实施例中,第一方向是水平的,而第二方向是垂直的,或者第一方向是垂直的,而第二方向是水平的。

第一节距限定了倍数,通过该倍数,第一多个金属区段的金属区段在第一金属层中在第一方向上对准。在一些实施例中,将第一多个金属区段布置成具有第一节距包括使每个金属区段在具有第一节距的导轨上居中。由此通过导轨将每个金属区段的宽度分成两半。

在一些实施例中,将第一多个金属区段布置成具有第一节距包括基于沿着金属区段的宽度的公共点在第一方向上对准金属区段,而不是使每个金属区段在导轨上居中。

导轨或公共点由此限定沿第一方向的多个位置,其中,金属区段可能位于该多个位置处。在各个实施例中,将第一多个金属区段布置成具有第一节距包括沿第一方向在每个可能位置处定位至少一个金属区段,或者通过沿第一方向省略一个或多个可能位置来定位金属区段。

在各个实施例中,第一多个金属区段的每个金属区段具有相同的宽度,或第一多个金属区段的一个或多个金属区段具有与第一多个金属区段的其他一个或多个金属区段的一个或多个宽度不同的一个或多个宽度。

在各个实施例中,第一多个金属区段的每个金属区段均具有相同的长度,或第一多个金属区段的一个或多个金属区段具有与第一多个金属区段的其他一个或多个金属区段的一个或多个长度不同的一个或多个长度。

每个金属区段沿第一端和第二端之间的长度延伸。布置第一多个金属区段包括以下中的一个或两个:在第一方向上共同地对准两个或多个金属区段;在第一方向上非共同地对准两个或多个金属区段。可以认为金属区段通过与在第一方向上延伸的线相交而在第一方向上共同地对准。

每个金属区段沿第一侧和第二侧之间的宽度延伸。布置第一多个金属区段包括以下中的一个或两个:在第二方向上共同地对准(coextensively)两个或多个金属区段;在第二方向上非共同(non-coextensively)地对准两个或多个金属区段。可以认为金属区段通过与在第二方向上延伸的线相交而在第二方向上共同地对准。

第一金属层限定基于IC布局图用于制造IC结构的工艺的一个或多个层中的部件,从而将一个或多个层制造为位于由IC布局图的一个或多个下部层中的布局图部件限定的各个半导体器件上方。

布置第一多个金属区段包括第一多个金属区段中的至少一个金属区段与IC布局图中的布局图部件重叠。布局图部件包括布置在具有第一方向上的高度和第二方向上的宽度的区域中的一个或多个IC组件。

在各个实施例中,布局图部件是单元(诸如标准单元、工程变更指令(ECO)单元、逻辑单元、存储器单元或定制单元)、单元的组合、电容结构或另一IC结构或与具有第一方向上的高度和第二方向上的宽度的区域相对应的结构的组合的布局图。

布置第一多个金属区段是制造给定的布局图部件与一个或多个额外的布局图部件和/或其他IC结构元件之间的电连接件的部分。因此,第一多个金属区段中与给定布局图部件重叠的金属区段的数量受到需要制造的至和/或来自给定布局图部件的电连接件的数量的影响。

能够与给定布局图部件重叠的金属区段的数量又受布局图部件的高度与第一节距的比率的影响。大比率对应于能够与给定布局图部件重叠的相对大量的金属区段,而小比率对应于能够与给定布局图部件重叠的相对少量的金属区段。

在一些实施例中,布局图部件的高度与第一节距的比率等于或小于5,因此相对于其中布局图部件的高度与第一节距的比率大于5的IC布局图,能够与给定布局图部件重叠的金属区段的数量较小。

当制造至/来自布局图部件的电连接件时,布局图部件的高度与第一节距的比率的减小以及相应的能够与给定布局图重叠的金属区段的数量的减少限制了布线选择,如下面相对于图2E进一步讨论的。

在一些实施例中,布局图部件是多个布局图部件中的一个布局图部件,并且在第一金属层中布置第一多个金属区段包括第一多个金属区段中的至少一个金属区段与多个布局图部件中的每个布局图部件重叠。

在一些实施例中,多个布局图部件中的每个布局图部件需要相应数量的电连接件,并且当制造至/来自多个布局图部件的电连接件时,每个布局图部件的高度与第一节距的比率的减小限制了布线选择。在一些实施例中,布置第一多个金属区段包括布置金属区段以限定基于IC布局图用于制造IC结构的工艺的金属2层的部分。在各个实施例中,布置第一多个金属区段包括布置至少一个金属2区段以与一个或多个布局图部件的金属1区段、金属0区段、多晶硅区、栅极结构,或源极或漏极区中的一个或多个重叠。

在一些实施例中,布置第一多个金属区段包括将通孔或接触件中的一个或多个定位在第一多个金属区段的一个或多个金属区段下面。定位通孔或接触件包括使通孔或接触件占据金属区段与布局图部件重叠的区域中的一些或全部。在一些实施例中,定位通孔或接触件包括使通孔或接触件占据金属区段与布局图部件的金属1区段、金属0区段、多晶硅区、栅极结构或源极或漏极区重叠的区域中的一些或全部。在各个实施例中,定位通孔或接触件包括在金属区段与布局图部件重叠的区域中定位例如槽通孔的单个通孔、单个接触件或多个通孔或接触件。

在一些实施例中,布置第一多个金属区段包括布置金属区段以限定金属层的位于IC工艺的金属2层之上的部分。在各个实施例中,布局图部件是金属层的位于第一金属层下面的金属区段,并且金属层的位于第一金属层下面的金属区段的节距小于、等于或大于第一节距。

图2A描述了根据一些实施例的在IC布局图200的第一层中布置第一多个金属区段220的非限制性实例。每个金属区段220具有沿X方向的长度、沿Y方向的宽度,并且与单元210A或单元210B中的一个或两个重叠,单元210A或单元210B的每个在Y方向上具有单元高度CH。单元210A和210B中的每个包括金属部分222,并且为了清楚的目的,在图2A中不描述一个或多个额外的部件。

在各个实施例中,单元210A和单元210B的一个或两个是标准单元、定制单元、工程变更指令(ECO)单元、逻辑门单元、存储器单元或其他类型的单元或能够在IC布局图中限定的单元的组合的布局图。在各个实施例中,逻辑门单元包括AND、OR、NAND、NOR、XOR、INV、AND-OR-INvert(AOI)、OR-AND-Invert(OAI)、MUX、触发器、BUFF、锁存器、延迟器和时钟器件的一个或多个的布局图。在各个实施例中,存储器单元包括静态随机存取存储器(SRAM)、动态RAM(DRAM)、电阻RAM(RRAM)、磁阻RAM(MRAM)、只读存储器(ROM)单元和能够具有表示逻辑值的多个状态的另一器件的一个或多个的布局图。

在图2A所述的实施例中,布置金属区段220包括使金属区段220在导轨T21至T25上居中,其中,每个导轨在Y方向上具有节距M2P。在各个实施例中,相对于导轨T21至T25中的一个布置每个金属区段220包括将沿着宽度(例如,除了中心之外的顶部或底部边缘)的给定点与导轨T21至T25中的相应一个对准。

单元高度CH与节距M2P的比率等于5。因此,能够与单元210A和210B中的一个重叠的金属区段220的数量小于能够与其中单元高度与第一节距的比率大于5的IC布局图中的单元重叠的金属区段的数量,以及能够与单元210A和210B中的一个重叠的金属区段220的数量大于能够与其中单元高度与第一节距的比率小于5的IC布局图中的单元重叠的金属区段的数量。

在图2A所示的实施例中,布置金属区段220包括没有金属区段220与单元210A或单元210B的顶部或底部边缘重叠。在各个实施例中,一个或多个金属区段220与单元210A和210B中的一个或两个的一个或多个顶部或底部边缘重叠。

每个金属区段220限定IC布局图200中的金属2层的部分并且位于通孔220V上方。每个通孔220V限定从金属区段220至单元210A和210B的一个中的金属部分222的导电路径。在各个实施例中,给定金属部分222限定单元210A和210B的一个中的金属1层或金属0层的部分。

在操作120处,在IC布局图的第二金属层中布置第二多个金属区段,第二多个金属区段在第二方向上具有第二节距。第二多个金属区段的每个金属区段具有在第二方向上的宽度和在第一方向上的长度。

第二金属层限定基于IC布局图用于制造IC结构的工艺的一个或多个层中的部件,从而使得在IC布局图的第一金属层上方制造一个或多个层。

第二节距限定了倍数,通过该倍数,第二多个金属区段的金属区段在第二金属层中在第二方向上对准。以上面讨论的用于在IC布局图的第一金属层中布置第一多个金属区段以在第一方向上具有第一节距的方式实施在IC布局图的第二金属层中布置第二多个金属区段以在第二方向上具有第二节距。

在各个实施例中,将第二多个金属区段布置在第二金属层中包括布置金属区段以限定IC工艺的金属3层的部分或者限定IC工艺的金属3层之上的金属层的部分。

在各个实施例中,第二节距小于、等于或大于第一节距。

图2B描述了根据一些实施例的在IC布局图200的第二层中布置第二多个金属区段230的非限制性实例。每个金属区段230具有沿Y方向的长度,沿X方向的宽度,并且与一个或多个金属区段220重叠。

在图2B所示的实施例中,布置金属区段230包括使金属区段230在导轨T31至T35上居中,其中,导轨T31至T35在X方向上具有节距M3P。在各个实施例中,相对于导轨T31至T35中的一个布置每个金属区段230包括将沿着宽度(例如,除中心之外的左边缘或右边缘)的给定点与导轨T31至T35中的相应一个对准。

每个金属区段230限定IC布局图200中的金属3层的部分并且位于通孔230V上方。每个通孔230V限定从金属区段230至金属区段220的导电路径。

在操作130处,第三多个金属区段布置在IC布局图的第三金属层中,第三多个金属区段在第一方向上具有第三节距。第三多个金属区段的每个金属区段具有在第一方向上的宽度和在第二方向上的长度。

第三金属层限定基于IC布局图用于制造IC结构的工艺的一个或多个层中的部件,从而使得在IC布局图的第二金属层上方制造一个或多个层。

第三节距限定了倍数,通过该倍数,第三多个金属区段的金属区段在第三金属层中在第一方向上对准。以上面讨论的用于在IC布局图的第一金属层中布置第一多个金属区段以在第一方向上具有第一节距的方式实施在IC布局图的第三金属层中布置第三多个金属区段以在第一方向上具有第三节距。

在各个实施例中,将第三多个金属区段布置在第三金属层中包括布置金属区段以限定IC工艺的金属4层的部分或者限定IC工艺的金属4层之上的金属层的部分。

第三节距小于第二节距。因此,基于包括操作130的方法100制造的IC结构(例如,下面相对于图3A至图3C讨论的IC结构300)包括第三金属层中的多个金属区段,这些多个金属区段的节距小于第二金属层中的多个金属区段的节距。

在一些实施例中,在第三金属层中布置第三多个金属区段包括使用一个或多个掩模(例如,下面相对于图6讨论的一个或多个掩模645)以在第三金属层中限定具有第三节距的整个金属区段。在一些实施例中,在第三金属层中布置第三多个金属区段包括使用一个或多个掩模来在第三金属层中限定金属区段的具有第三节距的第一子集,并使用一个或多个额外的掩模来在第三金属层中限定金属区段的具有比第三节距更大和/或更小的一个或多个额外的节距的一个或多个额外的子集。

通过将第三多个金属区段在第三金属层中布置为具有比第二节距更小的第三节距,至一个或多个IC布局图部件的电连接件的布线比在其中节距不小于下部金属层的节距的方法中的电连接件的布线更加灵活。

因为第三节距小于第二节距,所以第二节距与第三节距的比率具有大于1.0的值。对于接近1.0的比率值,布线灵活性随着比率值的增加而增加。比率值的额外增加需要第二节距的增加和第三节距的减小中的至少一个。

随着第二节距增加,由于电连接件被限定至的一个或多个IC布局图部件的尺寸有限,布线灵活性降低。随着第三节距的减小,第三多个金属区段的寄生电阻和/或电容增加,从而使得满足各个设计标准(例如,信号传播速度或发热)变得越来越困难。

由于增加第二节距和减小第三节距受到限制,增加比率值以增加布线灵活性受到限制。在一些实施例中,第二节距与第三节距的比率在从1.1至1.5的范围内。在一些实施例中,第二节距与第三节距的比率大于或等于1.25。

在各个实施例中,第三节距小于、等于或大于第一节距。

图2C描述了根据一些实施例的在IC布局图200的第三层中布置第三多个金属区段240的非限制性实例。每个金属区段240具有沿X方向的长度,沿Y方向的宽度,并且与一个或多个金属区段230重叠。

在图2C所示的实施例中,布置金属区段240包括使金属区段240在导轨T41至T45上居中,其中,导轨T41至T45在Y方向上具有节距M4P。在各个实施例中,相对于导轨T41至T45中的一个布置每个金属区段240包括将沿着宽度(例如,除了中心之外的顶部或底部边缘)的给定点与导轨T41至T45中的相应一个对准。根据上面相对于第二和第三节距的讨论,节距M3P与节距M4P的比率等于1.25。

每个金属区段240限定IC布局图200中的金属4层的部分并且位于通孔240V上方。每个通孔240V限定从金属区段240至金属区段230的导电路径。

在操作140处,在一些实施例中,第四多个金属区段布置在IC布局图的第四金属层中,第四多个金属区段在第二方向上具有第四节距。第四多个金属区段中的每个金属区段具有在第二方向上的宽度和在第一方向上的长度。

第四金属层限定基于IC布局图用于制造IC结构的工艺的一个或多个层中的部件,从而使得在IC布局图的第三金属层上方制造一个或多个层。

第四节距限定了倍数,通过该倍数,第四多个金属区段的金属区段在第四金属层中在第二方向上对准。以上面讨论的用于在IC布局图的第一金属层中布置第一多个金属区段以在第一方向上具有第一节距的方式实施在IC布局图的第四金属层中布置第四多个金属区段以在第二方向上具有第四节距。

在各个实施例中,将第四多个金属区段布置在第四金属层中包括布置金属区段以限定IC工艺的金属5层的部分或限定IC工艺的金属5层之上的金属层的部分。

第四节距大于第三节距。在一些实施例中,第四节距与第三节距的比率大于或等于1.3。在各个实施例中,第四节距小于、等于或大于第一节距和第二节距中的一个或两个。

在一些实施例中,第一、第二、第三和第四金属层是IC布局图的主布线层的金属层。在一些实施例中,主布线层包括在从十至十五的范围内的金属层的数量。在一些实施例中,主布线层包括十二个金属层。

在一些实施例中,除了第三节距小于第二节距之外,主布线层的每个金属层的节距大于或等于每个下面的金属层的节距。

图2D描述了根据一些实施例的在IC布局图200的第四层中布置第四多个金属区段250的非限制性实例。每个金属区段250具有沿Y方向的长度,沿X方向的宽度,并且与一个或多个金属区段240重叠。

在图2D所示的实施例中,布置金属区段250包括使金属区段250在导轨T51至T55上居中,其中,导轨T51至T55在X方向上具有节距M5P。在各个实施例中,相对于导轨T51至T55中的一个布置每个金属区段250包括将沿着宽度(例如,除中心之外的左或右边缘)的给定点与导轨T51至T55中的相应一个对准。节距M5P大于节距M2P、M3P和M4P中的每个。

每个金属区段250限定IC布局图200中的金属5层的部分并且位于通孔250V上方。每个通孔250V限定从金属区段250至金属区段240的导电路径。

在操作150处,在一些实施例中,基于IC布局图生成IC布局文件。在一些实施例中,生成IC布局文件包括基于上面相对于图2A至图2D讨论的IC布局图200生成IC布局文件。

在一些实施例中,生成IC布局文件包括基于下面相对于图5讨论的IC布局图生成系统500的一个或多个布局图520生成IC布局文件。

在一些实施例中,生成IC布局文件包括可被作为IC制造流程的部分的IC制造系统(例如,IC制造系统600)使用的包含数据(例如,IC设计布局图622)的一个或多个电子文件,如下面相对于图6所讨论的。

在操作160处,在一些实施例中,基于IC布局图生成掩模集。掩模集可用于制造一个或多个IC结构。在各个实施例中,生成掩模集包括实施一个或多个额外的制造操作,制造操作的非限制性实例包括生成表示IC布局图的一个或多个文件,将一个或多个文件存储在存储器件或数据库中,或者通过网络传输一个或多个文件。

在各个实施例中,生成掩模集包括使用IC布局图生成系统500的处理器502实施一个或多个制造操作,如下面相对于图5所讨论的。

在各个实施例中,生成掩模集包括通过作为IC制造流程的部分的IC制造系统(例如,IC制造系统600)实施一个或多个制造操作,如下面相对于图6所讨论的。在各个实施例中,生成掩模集是制造IC结构(例如,下面相对于图3A至图3C讨论的IC结构300)的部分,其中,通过包括比第二节距更小的第三节距来布置金属区段。

在图2E中,在x轴上显示上面相对于操作110讨论的布局图部件高度与第一节距的比率的值,并且在y轴上显示利用率值。

利用率是给定电路的布局图相对于可用空间的效率的量化表示。在图2E所示的实施例中,利用率表示为基于表示布局图部件使用的第一区域和表示总可用空间的第二区域的百分比。在各个实施例中,利用率以能够表示布局图效率的另一种形式(例如,不同的百分比或标准化值)表示。

在各个实施例中,第一区域是由给定电路的布局图部件(例如,单元210A和/或210B)的一些或全部占据的区域的集合,并且第二区域是给定电路所在的IC器件的特定部分(例如,核心部分)的一些或全部的可用空间。

图2E描述根据一些实施例的比率和利用率值之间的两个关系R1和R2。如上面相对于操作110所讨论的,随着比率值减小,能够与给定布局图部件重叠的第一多个金属区段的金属区段的数量减少,并且用于布线至/来自布局图部件的电连接件的选择变得有限。因此,关系R1和R2中的每个具有正斜率,表明随着比率值减小,利用率降低,并且随着比率值增加,利用率增加。

在关系R1中,比率值3对应于利用率值UT1,并且比率值7对应于利用率值UT3。在关系R2中,比率值3对应于比利用率值UT1更大的利用率值UT2,比率值7对应于比利用率值UT3更大的利用率值UT4。

关系R2表示根据执行方法100的一个或多个操作,第三多个金属区段的节距小于第二多个金属区段的节距的情况。在一些实施例中,第二节距大于或等于第三节距的1.25倍的值,如上面相对于操作130所讨论的。关系R1表示其中第三多个金属区段的节距大于第二多个金属区段的节距的方法。

如图2E所述,对于布局图部件高度与第一节距的给定比率,根据执行方法100的一个或多个操作,基于关系R2的利用率大于基于关系R1的利用率,反映了基于第三多个金属区段的节距小于第二多个金属区段的节距而增加的布线灵活性。

在图2E所述的实施例中,基于关系R2相对于关系R1的利用率增加随着比率值的减小而增加,反映了随着布局图部件高度相对于第一节距减小而增加的显著性。

在一些实施例中,对于布局图部件高度与第一节距的给定比率(例如,3或7),基于关系R2相对于关系R1的利用率增加(例如UT4对UT3或UT2对UT1)具有在从1%至5%的范围内的值。在一些实施例中,对于布局图部件高度与第一节距的给定比率,基于关系R2相对于关系R1的利用率增加具有在从2%至4%的范围内的值。

通过执行方法100的操作,生成IC布局图(例如IC布局图200),其中,第三多个金属区段的节距小于第二多个金属区段的节距。由于节距相对较小,第三多个金属区段能够比在节距不小于下部金属层的节距的方法中的多个金属区段具有更大的布线灵活性。与没有相对较小的节距的方法相比,更大的灵活性通过允许诸如标准单元的布局图部件的更紧凑的布置,使得能够提高芯片面积的利用率。

随着单元高度相对于IC布局图中的单元上方的第一金属层的节距变小,布线灵活性对芯片面积利用率的影响增加。因此,相对较大的灵活性和增加的利用率的益处在布局图中特别重要,其中,相对较小的节距在单元的高度的方向上并且其中单元高度被限制为第一金属层的节距的五倍。

与其中主布线层包括其中第三节距不小于第二节距的金属层的方法相比,在主布线层包括其中第三节距小于第二节距的金属层的实施例中,增加的布线灵活性还能够减少设计规则检查期间的违规,例如,下面相对于方法400和图4讨论的操作460。在一些实施例中,与主布线层包括其中第三节距不小于第二节距的金属层的相比,对于主布线层包括其中第三节距小于第二节距的金属布线层,设计规则检查违规的数量可减少高达十倍。

图3A至图3C是根据一些实施例的IC结构300的图。IC结构300是通过执行方法100和400的一些或全部操作而形成的IC结构的非限制性实例,在此相对于图1和4进行讨论。在各个实施例中,通过执行方法100和400的一些或全部操作而形成的IC结构包括图3A至图3C中所述的部件的子集,除了图3A至图3C所述的部件之外的部件,或具有与图3A至图3C中所述的那些不同的配置的部件。

为了清楚的目的,简化了图3A至图3C中的IC结构300的描述,其中,包括和排除各个部件以利于下面的讨论。图3A描述了IC结构300的平面图,方向X和Y。图3B描述了沿图3A中的线A-A'的IC结构300的截面图,方向Y和方向Z。图3C描述了沿图3A中的线B-B'的IC结构300的截面图,方向X和Z。

IC结构300包括IC部件310,位于IC部件310上方的金属区段320A和320B,位于金属区段320A和320B上方的金属区段330A和330B,位于金属区段330A和330B上方的金属区段340A和340B,位于金属区段340A和340B上方的金属区段350A,以及位于金属区段340B上方的金属区段350B。

IC部件310对应于上面相对于方法100和图1和2A讨论的IC部件,IC部件310在Y方向上具有高度310H,并且包括导电元件312。在各个实施例中,IC部件310包括一个或多个半导体或其他IC结构(未示出),并且导电元件312配置为提供至一个或多个半导体或其他IC结构的电连接。

在图3A所示的实施例中,导电元件312是位于金属1层中的单个金属区段。在各个实施例中,导电元件312是位于IC部件310的金属0层中的金属区段或是位于IC部件310中的多晶硅区或有源区中的金属区段。

在一些实施例中,导电元件312是IC部件310中的多个导电元件的一个导电元件。在各个实施例中,IC部件310中的多个导电元件包括位于IC部件310中的金属1层、金属0层和多晶硅区或有源区中的一个或多个中的一个或多个导电元件。

在图3A所述的实施例中,导电元件312具有矩形形状和Y方向上的长度。在各个实施例中,导电元件312具有X方向上的长度或具有除矩形之外的形状,例如正方形或L形。

金属区段320A和320B具有在Y方向上的节距M2P。在图3A和图3B所述的实施例中,金属区段320A和320B具有相同的宽度,并且节距M2P对应于沿金属区段320A和320B的宽度的第一边缘。在各个实施例中,节距M2P对应于沿除了第一边缘之外的金属区段320A和320B的宽度的点,和/或金属区段320A的宽度不同于金属区段320B的宽度。

在图3A和图3B所述的实施例中,金属区段320A和320B都位于IC部件310上方,位于金属2层中,并且在Y方向上具有对应于由节距M2P确定的最小间隔的间隔。在各个实施例中,金属区段320A和320B中的一个不位于IC部件310上方,金属区段320A和320B位于除金属2层之外的金属层中,和/或金属区段320A和320B在Y方向上具有由节距M2P确定的间隔,但是该间隔对应于除了由节距M2P确定的最小间隔之外的间隔。

金属区段330A和330B在X方向上具有节距M3P。在图3A和图3C所述的实施例中,金属区段330A和330B具有相同的宽度,并且节距M3P对应于沿金属区段330A和330B的宽度的第一边缘。在各个实施例中,节距M3P对应于沿除了第一边缘之外的金属区段330A和330B的宽度的点,和/或金属区段330A的宽度不同于金属区段330B的宽度。

在图3A至图3C所述的实施例中,金属区段330A和330B都位于金属区段320A和320B上方,位于金属3层中,并且在X方向上具有与由节距M3P确定的最小间隔相对应的间隔。在各个实施例中,金属区段330A和330B中的一个不位于金属区段320A和320B中的一个或两个上方,金属区段330A和330B位于金属3层以外的金属层中,和/或金属区段330A和330B在X方向上具有由节距M3P确定的间隔,但是该间隔对应于除了由节距M3P确定的最小间隔之外的间隔。

如图3B所示,金属区段330B通过通孔332BA电连接至金属区段320A,并通过通孔332BB电连接至金属区段320B。在一些实施例中,IC结构300不包括通孔332BA和332BB中的一个,并且金属区段330B仅电连接至金属区段320A和320B中的一个。

金属区段340A和340B在Y方向上具有节距M4P。在图3A和图3B所示的实施例中,金属区段340A和340B具有相同的宽度,并且节距M4P对应于沿金属区段340A和340B的宽度的第一边缘。在各个实施例中,节距M4P对应于沿除了第一边缘之外的金属区段340A和340B的宽度的点,和/或金属区段340A的宽度不同于金属区段340B的宽度。

在图3A至图3C所述的实施例中,金属区段340A和340B都位于金属区段330A和330B上方,位于金属4层中,并且在Y方向上具有与由节距M4P确定的最小间隔相对应的间隔。在各个实施例中,金属区段340A和340B中的一个不位于金属区段330A或330B中的一个或两个上方,金属区段340A和340B位于金属4层以外的金属层中,和/或金属区段340A和340B在Y方向上具有由节距M4P确定的间隔,但是该间隔对应于除了由节距M4P确定的最小间隔之外的间隔。

如图3B和图3C所示,金属区段340A通过通孔342AB电连接至金属区段330B,并且金属区段340B通过通孔342BA电连接至金属区段330A,并且通过通孔342BB电连接至金属区段330B。在一些实施例中,IC结构300不包括通孔342AB,并且金属区段340A不电连接至金属区段330B。在一些实施例中,IC结构300不包括通孔342BA和342BB中的一个,并且金属区段340B仅电连接至金属区段330A和330B中的一个。

金属区段350A和350B在X方向上具有节距M5P。在图3A和图3C所示的实施例中,金属区段350A和350B具有相同的宽度,并且节距M5P对应于沿金属区段350A和350B的宽度的第一边缘。在各个实施例中,节距M5P对应于沿除了第一边缘之外的金属区段350A和350B的宽度的点,和/或金属区段350A的宽度不同于金属区段350B的宽度。

在图3A和图3C所述的实施例中,金属区段350A位于金属区段340A和340B上方,金属区段350B位于金属区段340A上方,金属区段350A和350B位于金属5层中,并且在X方向上具有与由节距M5P确定的最小间隔相对应的间隔。在各个实施例中,金属区段350A和350B中的一个不位于金属区段340A或340B中的一个或两个上方,金属区段350A和350B位于金属5层以外的金属层中,和/或金属区段350A和350B在X方向上具有由节距M5P确定的间隔,但是该间隔对应于除了由节距M5P确定的最小间隔之外的间隔。

如图3C所示,金属区段350A通过通孔352AB电连接至金属区段340B,并且金属区段350B通过通孔352BB电连接至金属区段340B。在一些实施例中,IC结构300不包括通孔352AB和352BB中的一个,并且金属区段350A和350B中的仅一个电连接至金属区段340B。

在图3A至图3C所述的实施例中,通孔332BA、332BB、342AB、342BA、342BB、352AB和352BB中的每个在X和Y方向上具有等于或小于上面的或下面的金属区段的相应尺寸的尺寸。在各个实施例中,通孔332BA、332BB、342AB、342BA、342BB、352AB和352BB中的一个或多个配置为槽通孔,从而在X和/或Y方向上具有比上面的或下面的金属区段的相应尺寸更大的一个或多个尺寸。

节距M4P小于节距M3P。在一些实施例中,根据以上相对于方法100的操作130和图1的讨论,节距M3P与节距M4P的比率大于或等于1.25。

节距M2P小于节距M3P、M4P和M5P的每个。在一些实施例中,节距M5P大于节距M3P。

在各个实施例中,IC结构300包括位于金属区段350A和350B所在的金属层之上的金属层中的一个或多个金属区段(未示出),并且一个或多个金属区段在X和Y方向上具有大于节距M5P的节距。

通过执行本文相对于图1和图4讨论的方法100和/或400的一些或全部操作来制造,具有比节距M3P更小的节距M4P的IC结构300使得能够实现上面相对于方法100讨论的优势。

图4是根据一些实施例的将连接件布线至单元的方法400的流程图。方法400的操作能够作为形成IC结构(例如,上面相对于图3A至图3C讨论的IC结构300)的方法的部分来实施。在一些实施例中,形成IC结构是形成一个或多个半导体器件的部分,其中,半导体器件的非限制性实例包括存储器电路、逻辑器件、处理器件、信号处理电路等。

在一些实施例中,通过计算机的处理器执行方法400的一些或全部。在一些实施例中,通过IC布局图生成系统500的处理器502执行方法400的一些或全部,下面相对于图5进行讨论。

方法400的一些或全部操作能够作为在设计室(例如,下面相对于图6讨论的设计室620)中实施的设计过程的部分来实施。

方法400的一些或全部操作能够作为APR方法(例如,由APR系统实施的APR方法)的部分来实施。在各个实施例中,APR方法包括构造算法、迭代算法和集成算法中的一个或组合。

在构造算法中,在逐个单元的基础上实施放置和布线的操作。在更新IC布局图以包括给定单元的放置及其相关的布线连接之后,额外的布局图修订包括放置额外的单元及其相关的布线连接。

在迭代算法中,基于电路性能和权衡标准迭代地分析和修订包括多个单元和相关布线连接的初始IC布局图。

在集成算法中,当修订IC布局图以包括给定单元的放置和/或其布线连接时,应用电路性能和折衷标准。

在各个实施例中,以图4所述的顺序或以除了图4所述的顺序以外的一个或多个顺序实施方法400的操作。在一些实施例中,在方法400的一个或多个操作之前、之间、期间和/或之后实施一个或多个额外的操作。

在操作410处,在一些实施例中,将单元放置在IC布局图中。在各个实施例中,将单元放置在IC布局图中包括放置标准单元、工程变更指令(ECO)单元、逻辑单元、存储器单元、定制单元或单元的组合。在一些实施例中,将单元放置在IC布局图中包括将单元210A和210B中的一个放置在上面相对于图1和图2A讨论的IC布局图200中。

单元在第一方向上具有单元高度。在一些实施例中,单元是多个单元中的一个单元,并且多个单元中的每个单元具有单元高度。在一些实施例中,单元是多个单元中的一个单元,并且多个单元中的一个或多个单元具有不同于单元高度的高度。

在操作420处,通过沿着在第一方向上具有第一节距的第一多个导轨定位金属2区段来将金属2区段布线至单元。在一些实施例中,将金属2区段布线至单元包括将单元与金属2区段重叠。

在一些实施例中,根据上面相对于方法100和图1和图2E的讨论,单元高度与第一节距的比率等于或小于5。在一些实施例中,第一节距是IC布局图生成系统500的一个或多个节距522中的第一节距,下面相对于图5讨论。

将金属2区段布线至单元包括在IC制造工艺的金属2层中形成图案。在各个实施例中,将金属2区段布线至单元包括形成一个或多个额外的图案,其中,额外的图案配置为在单元和金属2区段之间形成一个或多个电连接件(例如,通孔、槽通孔、接触件,或金属1或0区段)。

在一些实施例中,单元是多个单元中的一个单元,金属2区段是多个金属2区段中的一个金属2区段,并且将金属2区段布线至单元包括将多个金属2区段中的每个金属2区段布线至多个单元中的相应单元。

在一些实施例中,将金属2区段布线至单元包括将金属区段220布置在上面相对于图1和图2A讨论的IC布局图200中。

在操作430处,通过沿在垂直于第一方向的第二方向上具有第二节距的第二多个导轨来定位金属3区段而将金属3区段布线至金属2区段。在一些实施例中,将金属3区段布线至金属2区段包括使金属2区段与金属3区段重叠。

在一些实施例中,第二节距是IC布局图生成系统500的一个或多个节距522的第二节距,下面相对于图5进行讨论。

将金属3区段布线至金属2区段包括在IC制造工艺的金属3层中形成图案。在各个实施例中,将金属3区段布线至金属2区段包括形成一个或多个额外的图案,其中,额外的图案配置为在金属2区段和金属3区段之间形成一个或多个电连接件(例如,通孔或槽通孔)。

在一些实施例中,金属2区段是多个金属2区段中的一个金属2区段,金属3区段是多个金属3区段中的一个金属3区段,并且将金属3区段布线至金属2区段包括将多个金属3区段中的每个金属3区段布线至多个金属2区段中的相应金属2区段。

在一些实施例中,将金属3区段布线至金属2区段包括在上面相对于图1和图2B讨论的IC布局图200中布置金属区段230。

在操作440处,通过沿着在第一方向上具有第三节距的第三多个导轨来定位金属4区段而将金属4区段布线至金属3区段。在一些实施例中,将金属4区段布线至金属3区段包括使金属3区段与金属4区段重叠。

第三节距小于第二节距。在一些实施例中,根据上面相对于方法100的操作130和图1的讨论,第二节距与第三节距的比率大于或等于1.25。在各个实施例中,第三节距小于、等于或大于第一节距。在一些实施例中,第三节距是IC布局图生成系统500的一个或多个节距522中的第三节距,下面相对于图5进行讨论。

将金属4区段布线至金属3区段包括在IC制造工艺的金属4层中形成图案。在各个实施例中,将金属4区段布线至金属3区段包括形成一个或多个额外的图案,其中,额外的图案配置为在金属3区段和金属4区段之间形成一个或多个电连接件(例如,通孔或槽通孔)。

在一些实施例中,金属3区段是多个金属3区段中的一个金属3区段,金属4区段是多个金属4区段中的一个金属4区段,并且将金属4区段布线至金属3区段包括将多个金属4区段中的每个金属4区段布线至多个金属3区段中的相应金属3区段。

在一些实施例中,将金属4区段布线至金属3区段包括在上面相对于图1和图2C讨论的IC布局图200中布置金属区段240。

在操作450处,在一些实施例中,通过沿着在第二方向上具有第四节距的第四多个导轨来定位金属5区段而将金属5区段布线至金属4区段。在一些实施例中,将金属5区段布线至金属4区段包括将金属4区段与金属5区段重叠。

在一些实施例中,第四节距大于第二节距和第三节距。在一些实施例中,第四节距与第三节距的比率等于或大于1.3。在各个实施例中,第四节距小于、等于或大于第一节距和第二节距中的一个或两个。在一些实施例中,第四节距是下面相对于图5讨论的IC布局图生成系统500的一个或多个节距522的第四节距。

将金属5区段布线至金属4区段包括在IC制造工艺的金属5层中形成图案。在各个实施例中,将金属5区段布线至金属4区段包括形成一个或多个额外的图案,其中,额外的图案配置为在金属4区段和金属5区段之间形成一个或多个电连接件(例如,通孔或槽通孔)。

在一些实施例中,金属4区段是多个金属4区段中的一个金属4区段,金属5区段是多个金属5区段中的一个金属5区段,并且将金属5区段布线至金属4区段包括将多个金属5区段中的每个金属5区段布线至多个金属4区段中的相应金属4区段。

在一些实施例中,将金属5区段布线至金属4区段包括在上面相对于图1和图2D讨论的IC布局图200中布置金属区段250。

在操作460处,在一些实施例中,对金属4区段实施设计规则检查。在一些实施例中,实施设计规则检查包括基于IC布局图的金属4区段和另一导电部件之间的间隔实施评估。在一些实施例中,金属4区段是多个金属4区段中的一个金属4区段,并且实施设计规则检查包括基于多个金属4区段中的多个金属4区段之间的间隔实施评估。

在一些实施例中,实施设计规则检查包括确定金属4金属区段的布线违反设计规则。在一些实施例中,违反设计规则包括金属4区段和相邻区段具有比最小的端至端间隔更小的间隔。在一些实施例中,违反设计规则包括金属4区段是第一信号路径的部分,相邻区段是第二信号路径的部分,并且金属4区段与相邻金属区段短路。

在一些实施例中,设计规则检查是多个设计规则检查中的一个设计规则检查,并且实施设计规则检查包括实施多个设计规则检查。

在操作470处,在一些实施例中,重复操作410至460中的一个或多个。在一些实施例中,重复操作410至460中的一个或多个是实施APR方法的部分,其中,APR方法包括构造算法、迭代算法和集成算法中的一个或组合。

在一些操作中,重复操作410至460中的一个或多个包括基于重复操作410至460中的一个或多个来修订IC布局图。

在操作480处,在一些实施例中,基于IC布局图生成IC布局文件。在一些实施例中,生成IC布局文件包括基于IC布局图生成系统500的一个或多个布局图520生成IC布局文件,下面相对于图5进行讨论。

在一些实施例中,生成IC布局文件包括生成含有可由作为IC制造流程的部分的IC制造系统(例如IC制造系统600)使用的数据的一个或多个电子文件,下面相对于图6进行讨论。

在操作490处,在一些实施例中,基于IC布局图生成掩模集。掩模集可用于制造一个或多个IC结构。在各个实施例中,生成掩模集包括使用IC布局图生成系统500的处理器502实施一个或多个制造操作,下面相对于图5进行讨论。

在各个实施例中,生成掩模集包括使用作为IC制造流程的部分的IC制造系统(例如,IC制造系统600)实施一个或多个制造操作,下面相对于图6进行讨论。在各个实施例中,生成掩模集是制造IC结构(例如,上面参考图3A至图3C讨论的IC结构300)的部分,其中,至单元的电连接件包括小于第二节距的第三节距。

通过执行方法400的操作,生成IC布局图(例如IC布局图200),其中,第三节距小于第二节距。由于相对较小的节距,金属2、3和4区段至单元的布线能够比在节距不小于下部金属层的节距的方法中的布线具有更大的灵活性。与没有相对较小的节距的方法相比,更大的灵活性实现了上面相对于方法100讨论的益处。

图5是根据一些实施例的IC布局图生成系统500的示意图。在一些实施例中,IC布局图生成系统500可用作IC制造系统600的设计室620的部分,下面相对于图6进行讨论。在一些实施例中,IC布局图生成系统500是可用于实施APR方法的APR系统、包括APR系统或是APR系统的部分。

在一些实施例中,IC布局图生成系统500能够实施方法100的一些或全部操作(上面相对于图1进行讨论),和/或方法400中的一些或全部(上面相对于图4进行讨论)。

IC布局图生成系统500包括硬件处理器502和非暂时性计算机可读存储介质504,其中,非暂时性计算机可读存储介质504编码有(即,存储)计算机程序指令506(即,可执行指令集)。指令506包括用于生成IC制造系统的IC布局图的指令。处理器502通过总线508与计算机可读存储介质504电连接。处理器502也通过总线508与I/O接口510电连接。网络接口512也通过总线508电连接至处理器502。网络接口512连接至网络514,从而使得处理器502和计算机可读存储介质504能够通过网络514连接至外部元件。处理器502配置为执行编码在计算机可读存储介质504中的计算机程序指令506,以使得IC布局图生成系统500可用于实施方法100和方法400中描述的部分或全部的操作。

在一些实施例中,处理器502是中央处理单元(CPU)、多处理器、分布式处理系统、专用集成电路(ASIC)和/或合适的处理单元。

在一些实施例中,计算机可读存储介质504是电子的、磁性的、光学的、电磁的、红外的和/或用于以非暂时性的方式存储指令和/或数据的半导体系统(或装置或器件)。例如,计算机可读存储介质504包括半导体或固相存储器、磁带、可移动计算机软盘、随机存取存储器(RAM)、只读存储器(ROM)、硬磁盘和/或光盘。在使用光盘的一些实施例中,计算机可读存储介质504包括只读光盘存储器(CD-ROM)、读/写光盘(CD-R/W)和/或数字视频光盘(DVD)。

在一些实施例中,计算机可读存储介质504存储配置为使得IC布局图生成系统500实施方法100和400的部分和全部的计算机程序指令506。在一些实施例中,计算机可读存储介质504还存储用于实施方法100和/或400所需的信息以及在实施方法100和/或400期间生成的信息(诸如一个或多个布局图520、一个或多个节距522和/或指令506)以实施方法100和400的一个或多个操作。

I/O接口510与外部电路连接。在一些实施例中,I/O接口510包括键盘、小型键盘、鼠标、轨迹球、触控板和/或向处理器502传达信息和/或命令的光标方向键。在一些实施例中,I/O接口510包括用于传送来自处理器502的信息的显示器、信号灯和/或音频设备。

网络接口512允许IC布局图生成系统500与一个或多个其他计算机系统所连接的网络514通信。网络接口512包括无线网络接口,诸如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有线网络接口,诸如ETHERNET、USB或IEEE-1394。在一些实施例中,在两个或多个IC布局图生成系统500中实现方法100和400中的一个或两个,并且通过网络514在不同系统500之间交换诸如一个或多个布局图520或一个或多个节距522的信息。

IC布局图生成系统500配置为接收与生成IC布局图相关的信息。该信息经由总线508传输至处理器502,然后作为一个或多个布局图520、一个或多个节距522或指令506存储在计算机可读存储介质504中。在一些实施例中,在方法100(图1)和/或方法400(图4)中访问一个或多个布局图520。在一些实施例中,在方法100(图1)和/或方法400(图4)中访问一个或多个节距522。

通过配置为执行方法100和400的部分或全部,IC布局图生成系统500使得能够实现上面相对于方法100和400以及图1至图4讨论的优势。

图6是根据一些实施例的IC制造系统600及其相关的IC制造流程的框图。

通常,系统600生成布局图(例如,以上相对于图1至图4讨论的IC布局图200中的任何一个)。基于布局图,系统600制造(A)一个或多个半导体掩模中或(B)初始半导体集成电路的层中的至少一个组件中的至少一个。

在图6中,IC制造系统600包括在设计、开发和制造周期中彼此相互作用的实体(诸如设计室620、掩模室630和IC制造商/制造者(“fab”)650),和/或与制造IC器件660有关的服务。通过通信网络连接系统600中的实体。在一些实施例中,通信网络是单个网络。在一些实施例中,通信网络是诸如内联网和因特网的各种不同的网络。通信网络包括有线和/或无线通信信道。每个实体与一个或多个其他实体相互作用并向一个或多个其他实体提供服务和/或从一个或多个其他实体接收服务。在一些实施例中,设计室620、掩模室630和IC制造商650中的两个或多个由单个较大公司拥有。在一些实施例中,设计室620、掩模室630和IC制造商650中的两个或多个共存于公共设施中并且使用公共资源。

设计室(或设计团队)620生成IC设计布局图622。IC设计布局图622包括为IC器件660设计的各种几何图案。几何图案对应于构成要制造的IC器件660的各个组件的金属、氧化物或半导体层的图案。各层结合以形成各种IC功能。例如,IC设计布局图622的部分包括要形成在半导体衬底(诸如硅晶圆)中的各个IC部件(诸如有源区、栅电极、源极和漏极、金属线或层间互连的通孔和用于接合焊盘的开口),以及设置在半导体衬底上的各种材料层。设计室620实施适当的设计过程以形成IC设计布局图622。设计过程包括逻辑设计、物理设计或放置和布线的一个或多个,例如,由APR系统实施的APR方法。IC设计布局图622呈现为具有几何图案信息的一个或多个数据文件。例如,可以以GDSII文件格式或DFII文件格式表示IC设计布局图622。

掩模室630包括数据准备632和掩模制造644。掩模室630使用IC设计布局图622以根据IC设计布局图622制造用于制造IC器件660的各个层的一个或多个掩模645。掩模室630实施掩模数据准备632,其中,IC设计布局图622转换为代表性数据文件(“RDF”)。掩模数据准备632提供RDF以用于掩模制造644。掩模制造644包括掩模写入器。掩模写入器将RDF转换为诸如掩模(掩模版)645或半导体晶圆653的衬底上的图像。设计布局图由掩模数据准备632操作以符合掩模写入器的特定特性和/或IC制造商650的要求。在图6中,掩模数据准备632和掩模制造644示出为单独的元件。在一些实施例中,掩模数据准备632和掩模制造644可以统称为掩模数据准备。

在一些实施例中,掩模数据准备632包括光学邻近校正(OPC),其使用光刻增强技术来补偿诸如可能由衍射、干涉、其他处理效应等引起的图像误差的图像误差。OPC调整IC设计布局图622。在一些实施例中,掩模数据准备632还包括分辨率增强技术(RET),诸如离轴照明、子分辨率辅助特征、相移掩模、其他合适的技术等,或它们的组合。在一些实施例中,还使用反向光刻技术(ILT),其将OPC视为反向成像问题。

在一些实施例中,掩模数据准备632包括掩模规则检查器(MRC),其中,该掩模规则检查器(MRC)利用掩模创建规则集检查IC设计布局图622(已经经受OPC中的工艺),以解决半导体制造工艺中的变化性等,其中,掩模创建规则集包含特定几何形状和/或连接性限制以确保足够的裕度。在一些实施例中,MRC修订IC设计布局图以补偿掩模制造644期间的限制,这可以取消OPC实施的部分修订以满足掩模创建规则。

在一些实施例中,掩模数据制备632包括光刻工艺检查(LPC),其模拟将由IC制造商650实施的处理以制造IC器件660。LPC模拟基于IC设计布局图622的该处理以创建诸如IC器件660的模拟制造的器件。LPC模拟中的处理参数可以包括与IC制造周期的各个工艺相关的参数,与用于制造IC的工具相关的参数和/或制造工艺的其他方面。LPC考虑了诸如空间图像对比度、焦点深度(“DOF”)、掩模误差增强因子(“MEEF”)、其他合适因素等的各种因素或它们的组合。在一些实施例中,在通过LPC创建模拟制造的器件之后,如果模拟器件的形状不够接近以满足设计规则,则将重复OPC和/或MRC以进一步改进IC设计布局图622。

应当理解,为了简明,已经简化了掩模数据准备632的上述描述。在一些实施例中,数据准备632包括诸如逻辑操作(LOP)的额外的特征以根据制造规则修订IC设计布局图。此外,可以以各种不同的顺序执行在数据准备632期间应用于IC设计布局图622的工艺。

在掩模数据制备632之后和掩模制造644期间,基于修订的IC设计布局图制造掩模645或掩模组645。在一些实施例中,基于修订的IC设计布局图,电子束(e束)或多个e束的机制用于在掩模(光掩模或掩模版)645上形成图案。采用各种技术来形成掩模645。在一些实施例中,使用二进制技术形成掩模645。在一些实施例中,掩模图案包括不透明区和透明区。用于曝光已经涂覆在晶圆上的图像敏感材料层(例如光刻胶)的辐射束(诸如紫外(UV)束)被不透明区阻挡并透过透明区。在一个实例中,掩模645的二元掩模版本包括透明衬底(例如,石英玻璃)和涂覆在掩模的不透明区中的不透明材料(例如,铬)。在另一实例中,使用相移技术形成掩模645。在掩模645的相移掩模(PSM)版本中,形成在掩模上的图案中的各个部件配置为具有适当的相位差以提高分辨率和成像质量。在各个实例中,相移掩模可以是衰减型PSM或交替型PSM。通过掩模制造644所生成的掩模用于各个工艺中。例如,这种掩模可以用在离子注入工艺中以在半导体晶圆653中形成各种掺杂区,用在蚀刻工艺中以在半导体晶圆653中形成各种蚀刻区,和/或用在其他合适的工艺中。

IC制造商650是一个IC制造企业,其包括用于制造各种不同IC产品的一个或多个制造设备。在一些实施例中,IC制造商650是半导体代工厂。例如,可以存在用于多个IC产品的前区段制造(前段制程(FEOL)制造)的制造设备,而第二制造设备可以提供用于IC产品的互连和封装的后区段制造(后段制程(BEOL)制造),以及第三制造设备可以为代工企业提供其他服务。

IC制造商650使用由掩模室630制造的一个掩模(或多个掩模)来制造IC器件660。因此,IC制造商650至少间接地使用IC设计布局图622来制造IC器件660。在一些实施例中,使用一个掩模(或多个掩模)645由IC制造商650制造半导体晶圆653以形成IC器件660。半导体晶圆653包括具有形成在其上的材料层的硅衬底或其他适当的衬底。半导体晶圆653还包括一个或多个各种掺杂区、介电部件、多层互连件等(在后续的制造步骤中形成)。

例如,在于2016年2月9日授权的美国专利号9,256,709,2015年10月1日发表的美国预授权出版号20150278429,2014年2月6日发表的美国预授权出版号20140040838和2007年8月21日授权的美国专利号7,260,442发现关于集成电路(IC)制造系统(例如,上面相对于图6讨论的系统600)以及与其相关联的IC制造流程的细节,其每个的全部内容结合于此作为参考。

在一些实施例中,IC结构包括位于第一金属层中的第一多个金属区段,第一多个金属区段中的每个金属区段在第一方向上延伸,第二多个金属区段位于第一金属层上方的第二金属层中,第二多个金属区段中的每个金属区段在与第一方向垂直的第二方向上延伸,以及第三多个金属区段位于第二金属层上方的第三金属层中,第三多个金属区段中的每个金属区段在第一方向上延伸。第三多个金属区段的节距小于第二多个金属区段的节距。在一些实施例中,第二多个金属区段的节距和第三多个金属区段的节距中的每个都大于第一多个金属区段的节距。在一些实施例中,第四多个金属区段位于第三金属层上方的第四金属层中,第四多个金属区段的每个金属区段在第二方向上延伸,其中,第四多个金属区段的节距大于第二多个金属区段的节距。在一些实施例中,第一多个金属区段的金属区段位于金属一层的金属区段上方。在一些实施例中,第一金属层是金属二层,第二金属层是金属三层,并且第三金属层是金属四层。在一些实施例中,第二多个金属区段的节距与第三多个金属区段的节距的比率大于或等于1.25。在一些实施例中,IC结构包括位于第二多个金属区段的金属区段和第三多个金属区段的金属区段之间的槽通孔。

在一些实施例中,生成IC的布局图的方法包括在金属二层中布置多个金属二区段,多个金属二区段中的金属二区段与IC布局图中的单元重叠,并且多个金属二区段在第一方向上具有第一节距。该方法还包括在金属三层中布置多个金属三区段,多个金属三区段在垂直于第一方向的第二方向上具有第二节距,并且在金属四层中布置多个金属四区段,多个金属四区段在第一方向上具有第三节距。第三节距小于第二节距,并且由计算机的处理器执行布置多个金属二区段,布置多个金属三区段或布置多个金属四区段中的至少一个。在一些实施例中,多个金属二区段中的金属二区段与单元中的金属一区段重叠。在一些实施例中,单元在第一方向上的高度与第一节距的比率等于或小于5。在一些实施例中,单元是多个单元中的一个单元,并且在金属二层中布置多个金属二区段包括多个金属二区段中的至少一个金属二区段与多个单元中的每个单元重叠。在一些实施例中,第二节距与第三节距的比率大于或等于1.25。在一些实施例中,该方法还包括基于布局图生成IC布局文件。在一些实施例中,该方法还包括基于布局图生成掩模集。

在一些实施例中,IC布局图生成系统包括处理器和包括用于一个或多个程序的计算机程序代码的非暂时性计算机可读存储介质。非暂时性计算机可读存储介质和计算机程序代码配置为与处理器一起使得系统将单元放置到IC布局图中,通过沿在第一方向上具有第一节距的第一多个导轨定位金属二区段来将金属二区段布线至单元,通过沿在垂直于第一方向的第二方向上具有第二节距的第二多个导轨定位金属三区段来将金属三区段布线至金属二区段,通过沿在第一方向上具有第三节距的第三多个导轨定位金属四区段来将金属四区段布线至金属三区段,并且基于单元、金属二区段、金属三区段和金属四区段生成IC布局文件,第三节距小于第二节距。在一些实施例中,单元在第一方向上具有单元高度,并且单元高度与第一节距的比率等于或小于5。在一些实施例中,非暂时性计算机可读存储介质和计算机程序代码配置为与处理器一起通过沿在第二方向上具有第四节距的第四多个导轨定位金属五区段,进一步使系统将金属五区段布线至金属四区段,其中,第四节距大于第二节距和第三节距。在一些实施例中,单元是多个单元中的一个单元,并且非暂时性计算机可读存储介质和计算机程序指令配置为与处理器一起进一步使系统基于包括金属二区段的多个金属二区段、包括金属三区段的多个金属三区段、包括金属四区段的多个金属四区段中的每个的布线,将多个单元放置在IC布局图中。在一些实施例中,非暂时性计算机可读存储介质和计算机程序指令配置为利用处理器进一步进一步使系统对金属四区段进行设计规则检查。在一些实施例中,非暂时性计算机可读存储介质和计算机程序指令配置为利用处理器进一步使系统基于IC布局图生成掩模集。

上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修订用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

31页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种光模块及光网络装置

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类