Semiconductor device with a plurality of semiconductor chips

文档序号:636332 发布日期:2021-05-11 浏览:6次 中文

阅读说明:本技术 半导体元件 (Semiconductor device with a plurality of semiconductor chips ) 是由 赖俊吉 于 2020-11-02 设计创作,主要内容包括:本公开提供一种半导体元件。该半导体元件具有一接合垫、一第一间隙子、一第二间隙子以及一介电层。该接合垫设置在一半导体基底上。该第一间隙子设置在该接合垫的一顶表面上。该第二间隙子设置在该接合垫的一侧壁上。该介电层位于该接合垫与该半导体基底之间。该介电层包含富含硅的氧化物;而一导电凸块设置在该第一钝化层上。该导电凸块经由该导电凸块电性连接一源极/漏极区,该源极/漏极区未在该半导体基底中。(The present disclosure provides a semiconductor device. The semiconductor device has a bonding pad, a first spacer, a second spacer and a dielectric layer. The bonding pad is disposed on a semiconductor substrate. The first spacer is disposed on a top surface of the bonding pad. The second spacer is disposed on a sidewall of the bonding pad. The dielectric layer is located between the bonding pad and the semiconductor substrate. The dielectric layer comprises a silicon-rich oxide; and a conductive bump is disposed on the first passivation layer. The conductive bump is electrically connected to a source/drain region through the conductive bump, the source/drain region not being in the semiconductor substrate.)

1. A semiconductor component, comprising:

a bonding pad disposed on a semiconductor substrate;

a first spacer disposed on a top surface of the bonding pad;

a second spacer disposed on a sidewall of the bonding pad;

a dielectric layer between the bond pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; and

a conductive bump disposed on a first passivation layer, wherein the conductive bump is electrically connected to a source/drain region through the bonding pad, the source/drain region being in the semiconductor substrate.

2. The semiconductor element according to claim 1, further comprising:

a dielectric spacer located between the first spacer and the bonding pad; and

wherein the first passivation layer covers the second spacer.

3. The semiconductor device as defined in claim 2, wherein the dielectric liner is L-shaped, and the first spacer is spaced apart from the bonding pad by the dielectric liner.

4. The semiconductor element according to claim 2, further comprising:

a barrier layer disposed between the bonding pad and the dielectric layer, wherein the second spacer directly contacts the barrier layer and the dielectric layer.

5. The semiconductor element according to claim 2, further comprising:

an anti-reflective coating layer disposed on the bonding pad; and

a third spacer disposed on the second spacer and directly contacting the anti-reflective coating layer, wherein the first passivation layer covers the anti-reflective coating layer and the third spacer.

6. The semiconductor device as claimed in claim 5, wherein a bottom most surface of the third spacer is flush with or higher than a bottom most surface of the second spacer.

7. The semiconductor element according to claim 2, further comprising:

a second passivation layer between the first passivation layer and the bonding pad, wherein the second passivation layer covers the second spacer, and the first passivation layer and the second passivation layer surround a portion of the conductive bump.

8. The semiconductor element according to claim 2, further comprising:

a conductive via between the bond pad and the source/drain region, wherein an air gap is disposed around the conductive via.

9. A semiconductor component, comprising:

a bonding pad disposed on a semiconductor substrate;

a first spacer disposed on a top surface of the bonding pad;

a second spacer disposed on a sidewall of the bonding pad;

a third spacer disposed on the second spacer;

a first dielectric layer between the bonding pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; and

a conductive bump disposed on the bonding pad, wherein the conductive bump extends over the first passivation layer and is electrically connected to a source/drain region, which is not in the semiconductor substrate.

10. The semiconductor element according to claim 9, further comprising:

a dielectric liner disposed between the first spacer and the bonding pad;

wherein the first passivation layer covers the second spacer.

11. The semiconductor device as defined in claim 10, wherein the dielectric liner is L-shaped, and the first spacer is spaced apart from the bonding pad by the dielectric liner.

12. The semiconductor element according to claim 10, further comprising:

and a second passivation layer between the bonding pad and the first passivation layer, wherein the second passivation layer is spaced apart from the second passivation layer by the third spacer.

13. The semiconductor device as defined in claim 9, wherein the second and third spacers are in direct contact with the first dielectric layer.

14. The semiconductor device as defined in claim 13, wherein the second spacer is L-shaped, and the third spacer is spaced apart from the first dielectric layer by the second spacer.

15. The semiconductor element according to claim 9, further comprising:

a second dielectric layer formed between the first dielectric layer and the semiconductor substrate;

a third dielectric layer formed between the second dielectric layer and the semiconductor substrate, wherein a silicon content of the second dielectric layer is greater than a silicon content of the third dielectric layer; and

a conductive via formed in the third dielectric layer, wherein an air gap is located between the conductive via and the third dielectric layer.

16. A semiconductor component, comprising:

a bonding pad disposed on a semiconductor substrate;

a first upper spacer disposed on a top surface of the bonding pad;

a second upper spacer disposed on the first upper spacer;

a first sidewall spacer disposed on a sidewall of the bonding pad;

a dielectric layer between the bond pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; and

a conductive bump disposed on the first passivation layer, wherein the conductive bump is electrically connected to a source/drain region through the bonding pad, and the source/drain region is located in the semiconductor substrate.

17. The semiconductor element according to claim 16, further comprising:

an anti-reflective coating layer disposed on the bonding pad; and

a second sidewall spacer disposed on the first sidewall spacer and directly contacting the anti-reflective coating layer, wherein the first passivation layer covers the anti-reflective coating layer and the second sidewall spacer.

18. The semiconductor device as claimed in claim 17, wherein a bottom most surface of the second sidewall spacer is flush with or higher than a bottom most surface of the first sidewall spacer.

19. The semiconductor element according to claim 17, further comprising:

a second passivation layer between the first passivation layer and the bonding pad, wherein the second passivation layer covers the first sidewall spacer, and the first passivation layer and the second passivation layer surround a portion of the conductive bump.

20. The semiconductor element according to claim 17, further comprising:

a conductive via between the bond pad and the source/drain region, wherein an air gap is disposed around the conductive via.

Technical Field

The present disclosure relates to a semiconductor device. And more particularly, to a semiconductor device having a spacer on a bonding pad and a method of fabricating the same.

Background

For many modern applications, semiconductor components are indispensable. As electronic technology advances, the size of semiconductor devices is gradually decreasing, providing better functionality and containing a larger number of integrated circuits. Due to the miniaturization of the specifications of semiconductor devices, various types and sizes of semiconductor devices performing different functions are integrated and packaged in a single module. Furthermore, a number of manufacturing operations are performed to integrate different types of semiconductor devices.

However, the fabrication and integration of semiconductor devices involves many complex steps and operations. The increased complexity of semiconductor device fabrication and integration may result in defects (defects), such as poor electrical interconnection (void-induced interconnection), misalignment of bonded components (misalignment), or moisture-induced degradation. Accordingly, there is a continuing need for improved semiconductor device manufacturing processes.

The above description of "prior art" merely provides background and is not an admission that the above description of "prior art" discloses the subject matter of the present disclosure, does not constitute prior art to the present disclosure, and any description of "prior art" above should not be taken as an admission that it forms part of the present disclosure.

Disclosure of Invention

An object of the present disclosure is to provide a semiconductor device to solve at least one of the above problems.

An embodiment of the present disclosure provides a semiconductor device. The semiconductor device includes a bonding pad, a first spacer, a second spacer, a dielectric layer and a conductive bump. The bonding pad is arranged on a semiconductor substrate; a first spacer disposed on a top surface of the bonding pad; a dielectric layer disposed between the bond pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; a conductive bump is disposed on the first passivation layer, wherein the conductive bump is electrically connected to a source/drain region in the semiconductor substrate through the bonding pad.

In some embodiments of the present disclosure, the semiconductor device further includes a dielectric liner and a first passivation layer. A dielectric liner located between the first spacer and the bonding pad; and a first passivation layer covering the second spacer.

In some embodiments of the present disclosure, the dielectric liner is L-shaped, and the first spacer is spaced apart from the bonding pad by the dielectric liner.

In some embodiments of the present disclosure, the semiconductor device further includes a barrier layer disposed between the bonding pad and the dielectric layer, wherein the second spacer directly contacts the barrier layer and the dielectric layer.

In some embodiments of the present disclosure, the semiconductor element further includes: an anti-reflective coating layer disposed on the bonding pad; and a third spacer disposed on the second spacer and directly contacting the anti-reflective coating layer, wherein the first passivation layer covers the anti-reflective coating layer and the third spacer.

In some embodiments of the present disclosure, a bottommost surface of the first spacer is flush with or higher than a bottommost surface of the second spacer.

In some embodiments of the present disclosure, the semiconductor device further includes a second passivation layer located between the first passivation layer and the bonding pad, wherein the second passivation layer covers the second spacer, and the first passivation layer and the second passivation layer surround a portion of the conductive bump.

In some embodiments of the present disclosure, the semiconductor device further includes a conductive via between the bonding pad and the source/drain region, wherein an air gap is disposed around the conductive via.

Another embodiment of the present disclosure provides a semiconductor device. The semiconductor device includes a bonding pad disposed on a semiconductor substrate; a first spacer disposed on a top surface of the bonding pad; a second spacer disposed on a sidewall of the bonding pad; a third spacer disposed on the second spacer; a first dielectric layer between the bonding pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; and a conductive bump disposed on the bonding pad, wherein the conductive bump extends over the first passivation layer and is electrically connected to a source/drain region, which is not in the semiconductor substrate.

In some embodiments of the present disclosure, the semiconductor element further includes: a dielectric liner disposed between the first spacer and the bonding pad; and a first passivation layer covering the second spacer.

In some embodiments of the present disclosure, the dielectric liner is L-shaped, and the first spacer is spaced apart from the bonding pad by the dielectric liner.

In some embodiments of the present disclosure, the semiconductor device further includes a second passivation layer between the bonding pad and the first passivation layer, wherein the second passivation layer is spaced apart from the second passivation layer by the third spacer.

In some embodiments of the present disclosure, the second spacer and the third spacer directly contact the first dielectric layer.

In some embodiments of the present disclosure, the second spacer is L-shaped, and the third spacer is spaced apart from the first dielectric layer by the second spacer.

In some embodiments of the present disclosure, the semiconductor element further includes: a second dielectric layer formed between the first dielectric layer and the semiconductor substrate; a third dielectric layer formed between the second dielectric layer and the semiconductor substrate, wherein a silicon content of the second dielectric layer is greater than a silicon content of the third dielectric layer; and a conductive via formed in the third dielectric layer, wherein an air gap is located between the conductive via and the third dielectric layer.

Another embodiment of the present disclosure provides a semiconductor device. The semiconductor device includes a bonding pad disposed on a semiconductor substrate; a first upper spacer disposed on a top surface of the bonding pad; a second upper spacer disposed on the first upper spacer; a first sidewall spacer disposed on a sidewall of the bonding pad; a dielectric layer between the bond pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; and a conductive bump disposed on the first passivation layer, wherein the conductive bump is electrically connected to a source/drain region through the bonding pad, and the source/drain region is in the semiconductor substrate.

In some embodiments of the present disclosure, the semiconductor element further includes: an anti-reflective coating layer disposed on the bonding pad; and a second sidewall spacer disposed on the first sidewall spacer and directly contacting the anti-reflective coating layer, wherein the first passivation layer covers the anti-reflective coating layer and the second sidewall spacer.

In some embodiments of the present disclosure, a bottommost surface of the second sidewall spacer is flush with or higher than a bottommost surface of the first sidewall spacer.

In some embodiments of the present disclosure, the semiconductor element further includes: a second passivation layer between the first passivation layer and the bonding pad, wherein the second passivation layer covers the first sidewall spacer, and the first passivation layer and the second passivation layer surround a portion of the conductive bump.

In some embodiments of the present disclosure, the semiconductor device further includes a conductive via between the bonding pad and the source/drain region, wherein an air gap is disposed around the conductive via.

According to some embodiments of the present disclosure, a semiconductor device is provided. The semiconductor element is provided with a second spacer, a first passivation layer and a conductive bump. The second spacer is located on a sidewall of a bonding pad. The first passivation layer covers the bonding pad and the second spacer. The conductive bump is located on the first passivation layer and electrically connected to a source/drain region through the bonding pad, and the source/drain region is located in a lower semiconductor substrate. As a result of this configuration, short circuit problems caused by misalignment of the bonding pad and the conductive bump can be avoided by the second spacer. Therefore, the overall device performance can be improved, and the yield of the semiconductor device can be improved.

The foregoing has outlined rather broadly the features and advantages of the present disclosure in order that the detailed description of the disclosure that follows may be better understood. Other technical features and advantages that form the claims of the present disclosure are described below. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present disclosure. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the disclosure as set forth in the appended claims.

Drawings

A more complete understanding of the present disclosure may be derived by referring to the embodiments when considered in conjunction with the following claims, wherein like reference numbers refer to similar elements throughout the figures.

Fig. 1 is a top view of a semiconductor device according to some embodiments of the present disclosure.

Fig. 2 is a schematic cross-sectional view of the semiconductor device along the cross-sectional line I-I' of fig. 1 according to some embodiments of the present disclosure.

Fig. 3 is a flow chart illustrating a method of fabricating a semiconductor device according to some embodiments of the present disclosure.

Fig. 4 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 5 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 6 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 7 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 8 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 9 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 10 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 11 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 12 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 13 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 14 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 15 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 16 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 17 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 18 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 19 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 in an intermediate stage of manufacturing the semiconductor device according to an embodiment of the present disclosure.

Fig. 20 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 21 is a schematic cross-sectional view of a semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage in the manufacture of the semiconductor device according to an embodiment of the present disclosure.

Fig. 22 is a schematic cross-sectional view of another semiconductor device taken along the cross-sectional line I-I' of fig. 1 at an intermediate stage of manufacturing the semiconductor device according to an embodiment of the present disclosure.

Fig. 23 to 25 are schematic cross-sectional views of a semiconductor device along the cross-sectional line I-I' of fig. 1 at intermediate stages of manufacturing the semiconductor device according to some embodiments of the present disclosure.

Fig. 26 to 28 are schematic cross-sectional views of a semiconductor device along the cross-sectional line I-I' of fig. 1 at intermediate stages of manufacturing the semiconductor device according to some embodiments of the present disclosure.

The reference numbers are as follows:

100a semiconductor element

100b semiconductor element

100c semiconductor element

100d semiconductor element

101 semiconductor substrate

103 insulating structure

105 source/drain region

107 gate dielectric layer

109 gate electrode

111: gate structure

113 dielectric layer

116 opening of the container

116': opening

119 first inner spacer

121: second inner spacer

123 barrier layer

125 conductive through hole

129 dielectric layer

130 air gap

133 dielectric layer

135 conductive structure

141 conductive part

141' conductive via

145 dielectric part

147 dielectric part

149 dielectric layer

150 air gap

153 dielectric layer

159 dielectric layer

163 dielectric layer

165 conductive vias

171 barrier layer

175 bonding pad

175S side wall

175T top surface

177 anti-reflective coating layer

177S side wall

177T top surface

181 second gap sublayer

181' second spacer

181' B bottom surface

181' second spacer

181' B bottom surface

185 third gap sublayer

185' third spacer

185' B bottommost surface

185 the third spacer

185' B bottom surface

185' T top surface

189 passivation layer

193 passivation layer

195 conductive bump

201 interstitial sublayer

201': spacer

203 interstitial sub-layer

203' spacer

211 gap sub-layer

211' spacer

213 interstitial sublayer

213' spacer

10 preparation method

S11 step

S13 step

S15 step

S17 step

S19 step

S21 step

S23 step

Detailed Description

Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these examples are merely illustrative and are not intended to limit the scope of the present disclosure. For example, the formation of a first feature over a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed intermediate the first and second features such that the first and second features may not be in direct contact. In addition, embodiments of the present disclosure may repeat reference numerals and/or letters in the various examples. These repetitions are for simplicity and clarity and do not, in themselves, represent a particular relationship between the various embodiments and/or configurations discussed, unless specifically stated in the context.

Furthermore, for ease of description, spatially relative terms such as "below", "lower", "above", "upper", and the like may be used herein to describe one element or feature's relationship to another (other) element or feature as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the elements in use or operation in addition to the orientation depicted in the figures. The device may have other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly as such.

Fig. 1 is a layout diagram of a semiconductor device 100a according to some embodiments of the present disclosure. As shown in fig. 1, according to some embodiments, the semiconductor device 100a includes a plurality of bonding pads 175 disposed on a semiconductor substrate 101. More particularly, the bonding pads 175 are disposed along an outer peripheral region of the semiconductor substrate 101, and the outer peripheral region is disposed around a central region of the semiconductor substrate 101. In some embodiments, a plurality of transistors are disposed in the central region, and a plurality of bonding pads 175 are used to electrically connect the plurality of transistors to a plurality of external devices via wire bonding.

Fig. 2 is a schematic cross-sectional view of the semiconductor device 100a along the cross-sectional line I-I' of fig. 1 according to some embodiments of the present disclosure. In some embodiments, the semiconductor device 100a includes an active region defined by a plurality of insulating structures 103 in a semiconductor substrate 101, wherein a plurality of source/drain regions 105 and a gate structure 111 are formed in the active region. According to some embodiments, the gate structure 111 is embedded in the semiconductor substrate 101 and located between the source/drain regions 105. However, in some other embodiments, the gate structure 111 is formed on the semiconductor substrate 101. Furthermore, the gate structure 111 may include a gate electrode 109 and a gate dielectric layer 107, wherein the gate dielectric layer 107 surrounds and covers a bottom surface of the gate electrode 109.

In addition, the semiconductor device 100a includes an interconnection structure (interconnection structure) between the bonding pad 175 and the semiconductor substrate 101. According to some embodiments, the interconnection structure is embedded in the dielectric layers 113, 129, 133, 149, 153, 159, 163, and the dielectric layers 113, 129, 133, 149, 153, 159, 163 are stacked from bottom to top as shown in fig. 2. In some embodiments, the plurality of conductive vias 125 are formed in the dielectric layer 113, the plurality of barrier layers 123 cover sidewalls and bottom surfaces of the plurality of conductive vias 125, the plurality of second inner spacers 121 cover sidewalls of the plurality of barrier layers 123, and the plurality of air gaps 130 are formed between the plurality of second inner spacers 121 and the dielectric layer 113.

In some embodiments, a plurality of conductive structures 135 are formed between dielectric layer 129 and dielectric layer 133. In particular, the dielectric layer 129 surrounds lower portions of the plurality of conductive structures 135, and the dielectric layer 133 surrounds upper portions of the plurality of conductive structures 135. In some embodiments, the plurality of conductive vias 141 'and the plurality of dielectric portions 145 are formed in the dielectric layer 149, and the plurality of air gaps 150 are formed between the plurality of conductive vias 141' and the dielectric layer 149. In particular, according to some embodiments, a plurality of air gaps 150 are disposed around the plurality of conductive vias 141', and a plurality of dielectric portions 145 are disposed overlying the plurality of air gaps 150.

Furthermore, in some embodiments, a conductive via 165 is formed, wherein the conductive via 165 passes through the dielectric layers 153, 159, 163 and the dielectric portion 145. In some embodiments, the silicon content of dielectric layers 133, 153, 163 is greater than the silicon content of dielectric layers 113, 129, 149, 159. For example, the dielectric layers 133, 153, 163 comprise silicon-rich oxide (SRO) having a low moisture absorption rate. Thus, the dielectric layers 133, 153, 163 can protect the underlying structures from contamination by external moisture.

Still referring to fig. 2, in some embodiments, a barrier layer 171 is formed between the bond pad 175 and the interconnect structure, and an anti-reflective coating layer 177 is formed on the bond pad 175. In some embodiments, the sidewalls of the barrier layer 171, the sidewalls 175S of the bonding pad 175, and the sidewalls of the anti-reflective coating layer 177 are aligned, and the second plurality of spacers 181 'and the third plurality of spacers 185' are formed on the sidewalls of the barrier layer 171, the bonding pad 175, and the anti-reflective coating layer 177. In some embodiments, a bottom most surface of the third spacers 185 'and the third spacers 185' B are flush with or higher than a bottom most surface of the second spacers 181 'and the second spacers 181' B

In particular, according to some embodiments, the second plurality of spacers 181 ' covers sidewalls of the barrier layer 171 and sidewalls 175S of the bonding pads 175, and the third plurality of spacers 185 ' is formed on the second plurality of spacers 181 '. In some embodiments, a plurality of third spacers 185' covers sidewalls of the anti-reflective coating layer 177. It is understood that, according to some embodiments, each sidewall 175S of the bonding pad 175 directly contacts the plurality of second spacers 181'.

In some embodiments, the semiconductor device 100a further includes passivation layers 189 and 193 conformally covering the dielectric layer 163, the plurality of third spacers 185' and the anti-reflective coating layer 177, and partially exposing a top surface 177T of the anti-reflective coating layer 177 through the passivation layers 189 and 193. A conductive bump 195 is formed on the top surface 177T of the anti-reflective coating layer 177 and extends over the passivation layers 189 and 193.

It should be appreciated that in some embodiments, passivation layer 193 is formed on passivation layer 189, and the silicon content of passivation layer 193 is greater than the silicon content of passivation layer 189 (e.g., passivation layer 193 contains a silicon-rich oxide (SRO)) such that passivation layer 193 can protect underlying structures from contamination by external moisture. Accordingly, according to some embodiments, the conductive bump 195 directly contacts the top surface 177T of the anti-reflective coating layer 177, and the passivation layers 189 and 193 are disposed around a lower portion of the conductive bump 195.

Still referring to fig. 2, the bonding pad 175 may be electrically connected to one of the source/drain regions 105 through the barrier layer 171 and the interconnection structure including the conductive via 165, the conductive via 141', the conductive structure 135 and the conductive via 125. Referring to fig. 1 and 2, the bonding pads 175 may be electrically connected to a plurality of external devices through the anti-reflective coating layer 177, the conductive bumps 195 and other wire bonding components.

It should be appreciated that due to the presence of the plurality of spacers (e.g., the plurality of second spacers 181 'and/or the plurality of third spacers 185') on the sidewalls 175S of the bonding pad 175, short circuits between the bonding pad 175 and adjacent bonding pads caused by misalignment (misalignment) between the bonding pad 175 and the conductive bump 195 may be avoided. Therefore, the overall device performance can be improved and the yield of the semiconductor device 100a can be improved. Furthermore, since the dielectric layers 133, 153, 163 and the passivation layer 193 may include silicon-rich oxide (SRO), the semiconductor device 100a may be protected from external moisture.

Fig. 3 is a flowchart illustrating a method 10 for manufacturing a semiconductor device (e.g., the semiconductor device 100a shown in fig. 2 or the other semiconductor device 100b shown in fig. 22) according to some embodiments of the disclosure, wherein the method 10 includes steps S11, S13, S15, S17, S19, S21, and S23 according to some embodiments. Steps S11 to S23 shown in fig. 3 are described together with the following illustration.

Fig. 4 to 19 are schematic cross-sectional views of the semiconductor device 100a along the cross-sectional line I-I' of fig. 1 at intermediate stages of the manufacture of the semiconductor device 100a according to some embodiments of the present disclosure.

Referring to fig. 4, a semiconductor substrate 101 is provided. The semiconductor substrate 101 may be a semiconductor wafer, such as a silicon wafer. Additionally or alternatively, the semiconductor substrate 101 may include an elemental (elementary) semiconductor material, a compound semiconductor material, and/or an alloy semiconductor material. Examples of the elemental semiconductor material may include crystalline silicon (crystalline silicon), polycrystalline silicon (polysilicon), amorphous silicon (amorphous silicon), germanium, and diamond, but are not limited thereto. Examples of the compound semiconductor material may include silicon carbide (silicon carbide), gallium arsenide (gallium arsenic), gallium phosphide (gallium phosphide), indium phosphide (indium phosphide), indium arsenide (indium arsenide), and indium antimonide (indium antimonide), but are not limited thereto. Examples of the alloy semiconductor material may include silicon germanium (SiGe), gallium arsenic phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), gallium indium arsenide (GaInAs), gallium indium phosphide (GaInP), and/or gallium indium arsenide phosphide (GaInAsP), but are not limited thereto.

In some embodiments, the semiconductor substrate 101 includes an epitaxial layer. For example, the semiconductor substrate 101 has an epitaxial layer, which is laid on a bulk (bulk) semiconductor. In some embodiments, the semiconductor substrate 101 is a semiconductor-on-insulator (SOI) substrate, which may include a substrate, a buried oxide layer on the substrate, and a semiconductor layer on the buried oxide layer, such as a silicon-on-insulator (SOI) substrate, a silicon-germanium-on-insulator (SGOI) substrate, or a germanium-on-insulator (GOI) substrate. The plurality of semiconductor-on-insulator substrates may be fabricated using separation by implanted oxygen (SIMOX), wafer bonding (wafer bonding), and/or other suitable methods.

Still referring to fig. 4, a plurality of isolation structures 103 are formed in the semiconductor substrate 101, wherein the isolation structures 103 are Shallow Trench Isolation (STI) structures according to some embodiments. In some other embodiments, the plurality of insulating structures 103 are local oxidation of silicon (LOCOS) structures (not shown). In such an example, a portion of the plurality of insulating structures 103 is embedded in the semiconductor substrate 101, and other portions of the plurality of insulating structures 103 protrude from the top surface of the semiconductor substrate 101. In addition, the plurality of insulating structures 103 may be made of silicon oxide, silicon nitride, silicon oxynitride, or other applicable dielectric materials.

Furthermore, a plurality of insulating structures 103 are defined in the semiconductorAn active region on the bulk substrate 101, which includes a plurality of source/drain regions 105. In some embodiments, the plurality of source/drain regions 105 are formed by one or more ion implantation (ion implantation) processes, and a P-type dopant, such as boron (B) or boron difluoride (BF) to form the plurality of source/drain regions 105 or an N-type dopant may be implanted in the active region to form the plurality of source/drain regions 1052) And N-type dopants such As phosphorus (P) or arsenic (As). The individual steps illustrate step S11 in the manufacturing method 10 of fig. 3.

Next, a gate structure 111 including a gate electrode 109 and a gate dielectric layer 107 is formed in the semiconductor substrate 101. In some embodiments, the gate electrode 109 is made of a conductive material, such as aluminum (Al), copper (Cu), tungsten (W), titanium (Ti), tantalum (Ta), or other applicable materials, and the gate dielectric layer 107 is made of silicon oxide, silicon nitride, silicon oxynitride, a dielectric material with a high dielectric constant (high-k), or a combination thereof.

In some embodiments, the gate structure 111 is formed by an etch and deposition process. First, a trench (trench) is formed in the semiconductor substrate 101 by an etching process. The etching process may include a dry etching process, a wet etching process, or a combination thereof. After forming the trench, a plurality of deposition processes may be performed to form the gate structure 111 in the trench, wherein the plurality of deposition processes may include a Chemical Vapor Deposition (CVD) process, a Physical Vapor Deposition (PVD) process, a spin-coating (spin-coating) process, or other applicable processes. After performing the deposition processes, a planarization process, such as Chemical Mechanical Polishing (CMP), may be performed to make the top surface of the gate structure 111 and the top surface of the semiconductor substrate 101 coplanar.

According to some embodiments, a transistor having a plurality of source/drain regions 105 and a gate structure 111 is formed in a semiconductor substrate 101. Next, a dielectric layer 113 is formed on the semiconductor substrate 101, and a plurality of openings 116 are formed, wherein the plurality of openings 116 penetrate through the dielectric layer 113 according to some embodiments, as shown in fig. 4. It is understood that the plurality of source/drain regions 105 are exposed through the plurality of openings 116.

In some embodiments, the dielectric layer 113 is made of silicon oxide, silicon nitride, silicon oxynitride, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), low-k dielectric material, and/or other applicable dielectric materials. Examples of low-k dielectric materials include Fluorinated Silica Glass (FSG), carbon-doped silicon oxide (carbon-doped silicon oxide), amorphous carbon fluoride (amorphous carbon), parylene, benzocyclobutene (BCB), or polyimide (polyimide), but are not limited thereto. In addition, the dielectric layer 113 may be formed by CVD, PVD, Atomic Layer Deposition (ALD), spin coating (spin coating), or other applicable processes. In some embodiments, the plurality of openings 116 are formed by an etching process using a patterned layer as a mask, wherein the etching process includes a dry etching process, a wet etching process, or a combination thereof.

Next, according to some embodiments, as shown in fig. 5, a plurality of first inner spacers 119 are formed, wherein the plurality of first inner spacers 119 are aligned on sidewalls of the plurality of openings 116, and a plurality of second inner spacers 121 are formed, wherein the plurality of second inner spacers 121 are aligned on sidewalls of the plurality of first inner spacers 119. In other words, in some embodiments, the plurality of first inner spacers 119 are located between the plurality of second inner spacers 121 and the dielectric layer 113, and the plurality of source/drain regions 105 and the semiconductor substrate 101 are partially exposed through the remaining openings 116'.

In some embodiments, the first plurality of inner spacers 119 is made of silicon oxide and the second plurality of inner spacers 121 is made of silicon nitride. It should be understood that the material of the plurality of first inner spacers 119 is different from the material of the plurality of second inner spacers 121, so that the plurality of first inner spacers 119 and the plurality of second inner spacers 121 have an etching selectivity during the etching process for forming the plurality of air gaps. Furthermore, the first inner spacers 119 and the second inner spacers 121 may be formed by deposition and etching processes. In some embodiments, the deposition process includes CVD, PVD, spin coating, or other applicable process, and the etching process is an anisotropic etching process. The directional nature of the anisotropic etch process removes the same vertical thickness of the first and second plurality of inner spacer materials everywhere, leaving the first and second plurality of inner spacers 119 and 121 adjacent to the sidewalls of the openings 116 (shown in fig. 4).

After forming the first plurality of inner spacers 119 and the second plurality of inner spacers 121, a barrier layer 123 is formed, wherein according to some embodiments, as shown in fig. 6, the barrier layer 123 lines up the remaining openings 116' and extends over the dielectric layer 113, and a plurality of conductive vias 125 are formed over the barrier layer 123. In some embodiments, the barrier layer 123 is made of tantalum (Ta), tantalum nitride (TaN), titanium (Ti), titanium nitride (TiN), cobalt tungsten (CoW), or other applicable materials, and the barrier layer 123 is formed by CVD, PVD, ALD, plating (plating), or other applicable processes.

In some embodiments, the plurality of conductive vias 125 are made of tungsten (W), cobalt (Co), titanium (Ti), aluminum (Al), copper (Cu), tantalum (Ta), platinum (Pt), molybdenum (Mo), silver (Ag), manganese (Mn), zirconium (Zr), ruthenium (Ru), or other applicable materials. In some embodiments, the plurality of conductive vias 125 are formed by depositing a conductive material on the barrier layer 123, wherein the deposition of the conductive material comprises CVD, PVD, ALD, plating, or other applicable processes. After the deposition process, the underlying barrier layer 123 is exposed and a plurality of conductive vias 123 are obtained by performing a planarization process, such as CMP, to remove excess portions of the conductive material.

In some embodiments, the planarization process described above is performed until the dielectric layer 113 is exposed, as shown in fig. 7. Alternatively, according to some embodiments, another planarization process, such as CMP, is performed to expose the dielectric layer 113, as shown in fig. 7. It is to be understood that in some embodiments, the plurality of first inner spacers 119 and the plurality of second inner spacers 121 are exposed after the planarization process.

After exposing the first plurality of inner spacers 119, a vapor phase hydrofluoric acid (VHF) etching process is performed to remove the first plurality of inner spacers 119, and dielectric layers 129 and 133 are sequentially formed on the dielectric layer 113, wherein according to some embodiments, as shown in fig. 8, the dielectric layer 129 covers the plurality of gaps to form sealed air gaps 130, and the plurality of gaps are formed by the removal of the first plurality of inner spacers 119.

During the etching process, VHF is used as an etchant (etchant), and the first plurality of inter-spacers 119 has a high etching selectivity compared to the second plurality of inter-spacers 121 and the dielectric layer 113. Accordingly, the plurality of first inner spacers 119 are removed by the etching process, and the plurality of second inner spacers 121 and the dielectric layer 113 are sequentially left, so that a plurality of gaps are formed between the plurality of second inner spacers 121 and the dielectric layer 113. According to some embodiments, after the dielectric layer 129 is formed, the plurality of gaps are sealed to become the plurality of air gaps 130.

Some of the materials and processes used to form the dielectric layers 129 and 133 are similar or identical to the materials and processes used to form the dielectric layer 113, and similar descriptions are not repeated herein. It should be understood that the silicon content of dielectric layer 133 is greater than the silicon content of dielectric layer 129. For example, according to some embodiments described above, the dielectric layer 133 is made of silicon-rich oxide (SRO), which has a low moisture absorption rate.

Next, according to some embodiments, as shown in fig. 9, a plurality of conductive structures 135 are formed in the dielectric layers 129 and 133. In some embodiments, the plurality of conductive structures 135 is formed by a dual damascene process. Furthermore, the materials used to form the conductive structures 135 may be similar to or the same as the materials used to form the conductive vias 125, and similar descriptions will not be repeated herein. In some embodiments, each conductive structure 135 includes multiple layers.

According to some embodiments, as shown in fig. 10, a plurality of conductive portions 141 are formed on the plurality of conductive structures 135, and a plurality of dielectric portions 145 are formed on the plurality of conductive portions 141. In some embodiments, the plurality of conductive portions 141 are made of polysilicon, tungsten, aluminum, copper, other conductive materials, or combinations thereof; and the plurality of dielectric portions 145 are made of SiO2, SiN, SiC, SiON, SiOC, SiCN, SiOCN, other applicable dielectric materials, or combinations thereof.

In addition, the conductive portions 141 and the dielectric portions 145 may be formed by deposition and etching processes. The deposition process may be CVD, PVD, ALD, spin-on coating, sputtering, other applicable processes, or combinations thereof. The etching process may be performed using a patterned layer as a mask. In some embodiments, sidewalls of the plurality of conductive portions 141 are aligned with sidewalls of the plurality of dielectric portions 145.

According to some embodiments, as shown in fig. 11, after forming the plurality of dielectric portions 145, each sidewall portion of the plurality of conductive portions 141 is converted into a plurality of dielectric portions 147. Thus, according to some embodiments, a plurality of dielectric portions 147 are formed on respective opposing sidewalls of the remaining portions of the plurality of conductive portions 141, which are represented as a plurality of conductive vias 141'.

In some embodiments, the plurality of dielectric portions 147 is formed by performing a thermal process, which may include an oxidation process, a nitridation process, other applicable processes, or a combination thereof. In order to achieve a high etch selectivity during the subsequent etching process, the material of the plurality of dielectric portions 147 should be different from the material of the plurality of dielectric portions 145. Accordingly, the gas applied during the heat treatment process is selected according to the material of the plurality of dielectric portions 145.

For example, if the plurality of dielectric portions 145 are made of silicon oxide, nitrogen (nitrogen) may diffuse into the sidewall surfaces of the plurality of conductive portions 141 during a heat treatment process, such as a nitridation process, and the plurality of dielectric portions 147 may be made of nitride, such as silicon nitride.

In addition, if the plurality of dielectric portions 145 are made of silicon nitride, oxygen (oxygen) may be diffused into each sidewall surface of the plurality of conductive portions 141 during a heat treatment process (e.g., an oxidation process), and the plurality of dielectric portions 147 may be made of silicon oxide (SiO) depending on the material of the plurality of conductive portions 1412) Tungsten oxide (WO), aluminum oxide (Al)2O3) Copper oxide (CuO), or combinations thereof.

According to some embodiments, as shown in fig. 12, after obtaining the plurality of conductive vias 141', the plurality of dielectric portions 147 are removed by an etching process, the dielectric layer 149 is formed on sidewalls of the plurality of dielectric portions 145, and the dielectric layer 153 is formed on the dielectric layer 149. The etching process for removing the plurality of dielectric portions 147 may include dry etching (e.g., RIE), wet etching, and/or other etching methods. Furthermore, since the sidewalls of the conductive vias 141 'and the sidewalls of the dielectric portions 145 are spaced apart from each other, the dielectric layer 149 is formed with a plurality of air gaps 150, and the air gaps 150 are surrounded between the dielectric portions 145, the conductive vias 141' and the dielectric layer 149.

Some of the materials and processes used to form dielectric layers 149 and 153 are similar or identical to the materials and processes used to form dielectric layers 129 and 133, and similar descriptions are not repeated herein. It should be understood that the silicon content of dielectric layer 153 is greater than the silicon content of dielectric layer 149. For example, according to some embodiments described above, the dielectric layer 153 is made of silicon-rich oxide (SRO), which has a low moisture absorption rate.

Next, dielectric layers 159 and 163 are sequentially formed on dielectric layer 153 and conductive via 165 is formed, wherein conductive via 165 passes through dielectric layers 163, 159, 153 and dielectric 145, as shown in fig. 13, according to some embodiments. Some of the materials and processes used to form dielectric layers 159 and 163 are similar or identical to the materials and processes used to form dielectric layers 129 and 133, and similar descriptions are not repeated herein. It should be understood that the silicon content of dielectric layer 163 is greater than the silicon content of dielectric layer 159. For example, according to some embodiments as described above, the dielectric layer 163 is made of silicon-rich oxide (SRO), which has a low moisture absorption rate.

After the formation of dielectric layers 159 and 163, conductive vias 165 are formed by an etching process followed by a deposition process. The etching process may be performed using a patterned layer as a mask to form an opening in the dielectric layers 163, 159, 153 and the dielectric portion 145, and may include a dry etching process, a wet etching process, or a combination thereof. Next, a deposition process including CVD, PVD, spin coating, or other applicable process may be performed to deposit one or more conductive materials in the openings and on the dielectric layer 163. Next, a planarization process (e.g., CMP) is performed to remove excess portions of the conductive material, thereby exposing the dielectric layer 163 so as to obtain the conductive via 165, and the conductive via 165 is electrically connected to the underlying conductive via 141'.

After the conductive via 165 is formed, an interconnection structure including the conductive via 165, the conductive via 141', the conductive structure 135, and the conductive via 125 is formed on the semiconductor substrate 101. The individual steps illustrate step S13 in the manufacturing method 10 of fig. 3.

Next, according to some embodiments, as shown in fig. 14, a barrier layer 171, a bonding pad 175 and an anti-reflective coating 177 are formed on the dielectric layer 163 as a stack. The individual steps illustrate step S15 in the manufacturing method 10 of fig. 3. Some materials used to form barrier layer 171 are similar or identical to the materials used to form barrier layer 123, and similar descriptions are not repeated herein. In some embodiments, the bonding pads 175 are made of aluminum, copper, other conductive materials, or a combination thereof.

Furthermore, in some embodiments, the anti-reflective coating 177 is made of a high dielectric constant (high-k) material, such as LaO, AlO, ZrO, TiO, Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfO2、HfO3、HfZrO、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、HfTaTiO、HfAlON、(Ba,Sr)TiO3(BST)、Al2O3Other applicable high dielectric constant materials, or combinations thereof. In some embodiments, the anti-reflective coating layer 177 comprises a metal oxide, a metal nitride, a metal silicide, a transition metal-oxide (transition metal-oxide), a transition metal-nitride (transition metal-nitride), a transition metal-silicide (transition metal-silicide), other applicable materials, or a combination thereof.

The barrier layer 171, the bonding pad 175, and the anti-reflective coating layer 177 may be formed by one or more deposition and etching processes. In some embodiments, the sidewalls of the barrier layer 171, the landing pad 175, and the anti-reflective coating 177 are aligned, and the landing pad 175 overlaps the interconnect structure and one of the source/drain regions 105.

According to some embodiments, as shown in fig. 15, a second gap sub-layer 181 is formed to conformally cover the dielectric layer 163 and the anti-reflective coating layer 177. In some embodiments, the second gap sub-layer 181 covers sidewalls of the barrier layer 171, sidewalls of the bonding pad 175, and sidewalls of the anti-reflective coating layer 177. In some embodiments, the second gap sub-layer 181 is made of an oxide, a nitride, an applicable dielectric material, or a combination thereof. Furthermore, the second gap sub-layer 181 may be formed by a deposition process, such as CVD, PVD, spin coating or other applicable processes.

Next, according to some embodiments, as shown in fig. 16, the second spacer layer 181 is etched to form a plurality of second spacers 181' on the sidewalls 175S of the bonding pads 175. The individual steps illustrate step S17 in the manufacturing method 10 of fig. 3. In some embodiments, the etching process is an anisotropic etching process that removes the same vertical thickness of the second spacers 181 everywhere, leaving sidewalls 175S of the bonding pads 175 and a plurality of second spacers 181' on sidewalls of the barrier layer 171. Further, a top surface 177T of the anti-reflective coating 177 is exposed.

It should be understood that, in some embodiments, the sidewalls 177S of the anti-reflective coating layer 177 are not covered by the plurality of second spacers 181'. In other words, according to some embodiments, the top surface 177T of the anti-reflective coating layer 177 is higher than the top surfaces of the plurality of second spacers 181'.

According to some embodiments, as shown in fig. 17, after forming the plurality of second spacers 181 ', a third spacer layer 185 is formed to conformally cover the dielectric layer 163, the plurality of second spacers 181', and the anti-reflective coating layer 177. In some embodiments, the third gap sub-layer 185 covers the sidewalls 177S of the anti-reflective coating layer 177 exposed through the plurality of second gap sub-layers 181' (see fig. 16).

Some materials and processes for forming the third gap sub-layer 185 are similar or identical to those for forming the second gap sub-layer 181, and similar descriptions are not repeated herein. It should be understood that the material of the second gap sub-layer 181 is different from the material of the third gap sub-layer 185. For example, in some embodiments, the second gap sub-layer 181 is made of oxide and the third gap sub-layer 185 is made of nitride. In some embodiments, the second gap sub-layer 181 is made of nitride and the third gap sub-layer 185 is made of oxide.

According to some embodiments, as shown in fig. 18, similar to the formation of the plurality of second spacers 181 ', the third spacer layer 185 is etched to form a plurality of third spacers 185 ' on the plurality of first spacers 181 '. The individual steps illustrate step S17 in the manufacturing method 10 of fig. 3. In some embodiments, the plurality of second spacers 181 'and the plurality of third spacers 185' directly contact the dielectric layer 163.

In some embodiments, the etching process is an anisotropic etching process that removes the same vertical thickness of the third spacers 185 everywhere, leaving a plurality of third spacers 185 'on the sidewalls 177S of the anti-reflective coating layer 177 and on the sidewalls of the plurality of second spacers 181'. Further, according to some embodiments, a top surface 185 'T (e.g., a topmost surface) of the third plurality of spacers 185' is higher than a top surface 175T of the bonding pads 175. In some embodiments, after the plurality of third spacers 185' are formed, the top surface 177T of the anti-reflective coating layer 177 is exposed.

According to some embodiments, as shown in fig. 19, after forming the plurality of third spacers 185 ', passivation layers 189 and 193 are formed to conformally cover the dielectric layer 163, the plurality of third spacers 185' and the anti-reflective coating layer 177. The individual steps illustrate step S19 in the manufacturing method 10 of fig. 3.

Some of the materials and processes used to form passivation layers 189 and 193 are similar or identical to the materials and processes used to form dielectric layers 129 and 133, and similar descriptions are not repeated herein. It should be appreciated that the silicon content of passivation layer 193 is greater than the silicon content of passivation layer 189. For example, according to some embodiments described above, the passivation layer 193 is made of silicon-rich oxide (SRO), which has a low moisture absorption rate.

According to some embodiments, as shown in fig. 2, the passivation layers 189 and 193 are partially removed to partially expose the top surface 177T of the anti-reflective coating layer 177, and the conductive bump 195 is formed on the passivation layers 189 and 193. The individual steps illustrate step S21 in the manufacturing method 10 of fig. 3. In some embodiments, the conductive bump 195 passes through the passivation layers 189 and 193, and the conductive bump 195 is electrically connected to the underlying source/drain region 105 through the bonding pad 175.

In some embodiments, passivation layers 189 and 193 are partially removed by an etch process using a patterned layer as a mask. According to some embodiments, after portions of passivation layers 189 and 193 are removed, the top surface 177T of the anti-reflective coating layer 177 is partially exposed through an opening, which is then filled with the conductive bump 195. In some embodiments, conductive bump 195 extends over passivation layers 189 and 193 and is formed by CVD, PVD, ALD, plating, or other applicable process to form conductive bump 195.

In some embodiments, the conductive bumps 195 include solder bumps (solder bumps), solder balls (solder balls), metal pillar bumps (metal pillar bumps), other applicable connectors, or combinations thereof. In some embodiments, the conductive bumps 195 may be made of copper, tin, aluminum, nickel, silver, other applicable conductive materials, or combinations thereof. After the conductive bump 195 is formed, the semiconductor device 100a is obtained.

Fig. 20 to 22 are schematic cross-sectional views of another semiconductor device 100b along the cross-sectional line I-I' of fig. 1 at intermediate stages of manufacturing the other semiconductor device 100b according to an embodiment of the disclosure. In some embodiments, the layout of the semiconductor device 100b is similar to or the same as the layout of the semiconductor device 100a shown in fig. 1.

Some of the materials and processes used to form the semiconductor device 100b are similar or identical to those used to form the semiconductor device 100a, and similar descriptions are not repeated herein. The difference between the second embodiment in fig. 20 to 22 and the first embodiment in fig. 2 and 4 to 19 is in the method of forming a plurality of spacers on each sidewall 175S of the bonding pad 175.

As shown in fig. 20, according to some embodiments, a third gap sub-layer 185 is formed so as to conformally cover the structure shown in fig. 15. In the present embodiment, the third gap sub-layer 185 is formed on the second gap sub-layer 181. In other words, the third spacer layer 185 is formed before the second spacer layer 181 is etched to form a plurality of spacers.

Next, according to some embodiments, as shown in fig. 21, the third spacer layer 185 is etched to form a plurality of third spacers 185 ", and the underlying second spacer layer 181 is etched using the plurality of third spacers 185" as a mask to form a plurality of second spacers 181 ". In other words, according to some embodiments, the plurality of second spacers 181 "is formed after the plurality of third spacers 185" such that the plurality of third spacers 185 "are spaced apart from the dielectric layer 163 by a portion of the plurality of second spacers 181".

According to some embodiments, as shown in fig. 22, after the second plurality of spacers 181 "and the third plurality of spacers 185" are formed, passivation layers 189 and 193 and conductive bump 195 are formed in a manner similar to the semiconductor device 100 a. It should be appreciated that in some embodiments, since the plurality of third spacers 185 "are used as a mask to form the plurality of second spacers 181", one of the processes for forming the mask may be omitted, and thus the cost of manufacturing the semiconductor device may be reduced. Furthermore, according to some embodiments, the bottommost surface 185 "B of the plurality of third spacers 185" is higher than the bottommost surface 181 "B of the plurality of first spacers 181".

Embodiments of semiconductor devices 100a, 100b and methods of making the same are provided. The semiconductor devices 100a and 100b include a second spacer 181 ' or 181 ", a passivation layer (e.g., passivation layers 189 and 193) and a conductive bump 195, wherein the first spacer 181 ' or 181" is on each sidewall 175S of the bonding pad 175, the passivation layer covers the bonding pad 175 and the second spacer 181 ' or 181 ", and the conductive bump 195 is on the passivation layer and electrically connected to one of the source/drain regions 105 in the semiconductor substrate 101 through the bonding pad 175. Therefore, the short circuit problem caused by the misalignment of the bonding pad 175 and the conductive bump 195 can be avoided by the second spacer 181' or 181 ″. Therefore, the overall device performance can be improved, and the yield of the semiconductor devices 100a and 100b can be improved.

In addition, since the dielectric layers (e.g., the dielectric layers 133, 153, 163) and the passivation layer 193 may include silicon-rich oxide (SRO), the semiconductor elements 100a, 100b may be protected from contamination by external moisture.

Fig. 23 to 25 are schematic cross-sectional views of another semiconductor device 100c along the cross-sectional line I-I' of fig. 1 at intermediate stages of manufacturing the other semiconductor device 100c according to an embodiment of the disclosure. Some of the materials and processes used to form the semiconductor device 100c are similar or identical to those used to form the semiconductor device 100a, and similar descriptions will not be repeated herein. The difference between the third embodiment in fig. 23 to 25 and the first embodiment in fig. 2 and 4 to 19 is a method of forming a plurality of spacers on the top surface of the bonding pad 175.

As shown in fig. 23, according to some embodiments, a gap sub-layer 201 is formed to conformally cover the structure prior to forming the conductive bump 195. In the present embodiment, the gap sub-layer 201 is formed on the passivation layer 193 and the bonding pad 175. Next, according to some embodiments, as shown in fig. 24, the spacer layer 201 is etched to form a spacer 201 ', and the spacer 201' is disposed on a top surface of the bonding pad 175. In some embodiments, the spacers 201' cover the sidewalls of the anti-reflective coating 177 and the passivation layers 189 and 193, and serve as a sidewall protection layer.

Next, referring to fig. 24, according to some embodiments, a gap sub-layer 203 is formed to conformally cover the structure shown in fig. 23. In the present embodiment, the spacer sub-layer 203 is formed on the passivation layer 193, the bonding pad 175 and the spacer 201'. Next, as shown in fig. 25, the spacer sub-layer 203 is etched to form a spacer 203 ', and the spacer 203 ' is disposed on the top surfaces of the spacer 201 ' and the bonding pad 175. In some embodiments, the spacer 201 ' covers the sidewalls of the anti-reflective coating 177 and the passivation layers 189 and 193, i.e., the spacer 201 ' serves as a primary sidewall protection layer and the spacer 203 ' serves as a secondary sidewall protection layer. After the formation of the spacers 201 'and 203', a conductive bump 195 is formed in a similar manner as the semiconductor device 100c, according to some embodiments.

It should be understood that the materials of the interstitial sublayer 201 and the interstitial sublayer 203 are different. For example, in some embodiments, the gap sub-layer 201 is made of oxide and the gap sub-layer 203 is made of nitride. In some other embodiments, the gap sub-layer 201 is made of nitride and the gap sub-layer 203 is made of oxide. In addition, the second spacers 181 'and/or the third spacers 185' on the sidewalls 175S of the bonding pads 175 in fig. 25 may be replaced by the second spacers 181 ″ and/or the third spacers 185 ″ shown in fig. 22.

Fig. 26 to 28 are schematic cross-sectional views of another semiconductor device 100d along the cross-sectional line I-I' of fig. 1 at intermediate stages of manufacturing the another semiconductor device 100d according to an embodiment of the disclosure. Some of the materials and processes used to form the semiconductor device 100d are similar or identical to those used to form the semiconductor device 100c, and similar descriptions will not be repeated herein. The difference between the fourth embodiment in fig. 26 to 28 and the third embodiment in fig. 23 to 25 is a method of forming a plurality of spacers on the top surface of the bonding pad 175.

As shown in fig. 26, according to some embodiments, a gap sub-layer 211 is formed to conformally cover the structure prior to formation of the conductive bump 195. In the present embodiment, the gap sub-layer 211 is formed on the passivation layer 193 and the bonding pad 175. Next, an interstitial sublayer 213 is formed to conformally cover the interstitial sublayer 211.

Referring to fig. 27, the spacer layer 213 is etched to form a spacer 213 ', and the spacer 213' is located on the spacer layer 211. Next, as shown in fig. 28, the spacer layer 211 is etched to form spacers 211 ', and the spacers 211' are located on the bonding pads 175.

Next, referring to fig. 28, after forming the spacers 211 'and 213', a conductive bump 195 is formed in a manner similar to that of the semiconductor device 100d, according to some embodiments. In some embodiments, the spacer 211 ' covers the sidewalls of the anti-reflective coating 177 and the passivation layers 189 and 193, i.e., the spacer 211 ' serves as a primary sidewall protection layer and the spacer 213 ' serves as a secondary sidewall protection layer. In addition, the spacer 211 'can be considered as a dielectric spacer between the spacer 213' and the bonding pad 175.

It should be understood that the materials of the gap sub-layer 211 and the gap sub-layer 213 are different. For example, in some embodiments, the spacer layer 211 is made of oxide and the spacer layer 213 is made of nitride. In other embodiments, the spacer layer 211 is made of nitride and the spacer layer 213 is made of oxide. In addition, the second spacer 181 "and/or the third spacer 185" shown in fig. 22 may replace the second spacer 181 'and/or 185' on the sidewalls 175S of the bonding pad 175 shown in fig. 25.

An embodiment of the present disclosure provides a semiconductor device. The semiconductor device includes a bonding pad disposed on a semiconductor substrate; a first spacer disposed on a top surface of the bonding pad; a dielectric layer between the bond pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; and a conductive bump disposed on the first passivation layer, wherein the conductive bump is electrically connected to a source/drain region through the bonding pad, and the source/drain region is located in the semiconductor substrate.

Another embodiment of the present disclosure provides a semiconductor device. The semiconductor device includes a bonding pad disposed on a semiconductor substrate; a first spacer disposed on a top surface of the bonding pad; a second spacer disposed on a sidewall of the bonding pad; a third spacer disposed on the second spacer; a first dielectric layer between the bonding pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; and a conductive bump disposed on the bonding pad, wherein the conductive bump extends over the first passivation layer and is electrically connected to a source/drain region, which is not in the semiconductor substrate.

Another embodiment of the present disclosure provides a semiconductor device. The semiconductor device includes a bonding pad disposed on a semiconductor substrate; a first upper spacer disposed on a top surface of the bonding pad; a second upper spacer disposed on the first upper spacer; a first sidewall spacer disposed on a sidewall of the bonding pad; a dielectric layer between the bond pad and the semiconductor substrate, wherein the dielectric layer comprises a silicon-rich oxide; and a conductive bump disposed on the first passivation layer, wherein the conductive bump is electrically connected to a source/drain region through the bonding pad, and the source/drain region is in the semiconductor substrate.

According to some embodiments of the present disclosure, a semiconductor device is provided. The semiconductor element is provided with a second spacer, a first passivation layer and a conductive bump. The second spacer is located on a sidewall of a bonding pad. The first passivation layer covers the bonding pad and the second spacer. The conductive bump is located on the first passivation layer and electrically connected to a source/drain region through the bonding pad, and the source/drain region is located in a lower semiconductor substrate. As a result of this configuration, short circuit problems caused by misalignment of the bonding pad and the conductive bump can be avoided by the second spacer. Therefore, the overall device performance can be improved, and the yield of the semiconductor device can be improved.

Although the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. For example, many of the processes described above may be performed in different ways and replaced with other processes or combinations thereof.

Moreover, the scope of the present disclosure is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, such processes, machines, manufacture, compositions of matter, means, methods, or steps, are included in the claims of this disclosure.

47页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体元件

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类